Главная              Рефераты - Разное

Учебное пособие: Методические указания по выполнению лабораторной работы №6 по курсу “Цифровая обработка сигналов” томск 2010

МИНИСТЕРСТВО ОБРАЗОВАНИЯ И НАУКИ РФ

Томский политехнический университет

²УТВЕРЖДАЮ²

Декан ЭФФ

____________ Евтушенко Г.С.

«_____» ____________ 2010 г.

ПРОЕКТИРОВАНИЕ ЦИФРОВОГО БИХ-ФИЛЬТРА

МЕТОДОМ БИЛИНЕЙНОГО ПРЕОБРАЗОВАНИЯ

В ПАКЕТЕ ПРОГРАММ MATLAB

Методические указания

по выполнению лабораторной работы №6

по курсу “Цифровая обработка сигналов”

ТОМСК 2010

Лабораторная работа №6

Проектирование цифрового БИХ-фильтра методом билинейного преобразования в пакете программ MATLAB

1. ЦЕЛЬ РАБОТЫ

1.1. изучить особенности синтеза БИХ-фильтров методом билинейного преобразования в пакете программ MATLAB;

1.2. синтез цифрового фильтра методом билинейного преобразования;

1.3. исследование характеристик синтезированного цифрового фильтра.

2. КРАТКИЕ ПОЯСНЕНИЯ К ЛАБОРАТОРНОЙ РАБОТЕ

2.1. Метод билинейного преобразования

Метод билинейного преобразования относится к аналитическим методам расчета.

По методу билинейного преобразования синтезируемому ЦФ ставится в соответствие некоторый аналоговый фильтр-прототип (АФП ) с передаточной функцией Н(s) и частотной характеристикой H(j×Ω), однозначно связанными с передаточной функцией H(z) и частотной характеристикой H(j×ω) ЦФ:

АФП ЦФ АФП ЦФ

Связь эта определяется прямой s=f(z) и обратной z=f-1 (s) преобразующими функциями и соответствующими им при s=j×Ω и z=ej ×ωTд преобразованиями частот

Ω=f(ω), ω=f-1 (Ω) аналогового и цифрового фильтров.

С помощью этих преобразований определяются требования к АФП, по которым хорошо разработанными методами синтезируется его передаточная функция H(s), преобразуемая затем в искомую передаточную функцию ЦФ H(z).

Билинейное преобразование, которое определяется следующим образом:

s=f(z)=(2/T)[(1–z-1 )/(1+z-1 )]

(1)

Можно также найти обратное соотношение

z-1 =[(2–s×T)/(2+s×T)]

(2)

Из свойств процедуры перехода на основе билинейного преобразования следует, что мнимая ось S-плоскости отображается в единичную окружность в Z-плоскости (где |z|=1)

Рис. 2. Свойства процедуры перехода на основе билинейного преобразования

Билинейное преобразование – однозначная функция. Это означает, что каждой точке в Z-плоскости соответствует точно одна точка в s-плоскости и наоборот. Из этого свойства однозначности следует, что отсутствует эффект наложения спектров при билинейной процедуре отображения.

Методика расчета цифровых фильтров на основе метода билинейного преобразования включает в себя нахождение подходящей передаточной функции Н(s) аналогового фильтра и применение к ней билинейного преобразования для получения передаточной фикции H(z) требуемого цифрового фильтра

(3)

При этом преобразовании будут сохраняться и частотные характеристики, и свойства устойчивости аналогового фильтра. Однако это не означает, что частотные характеристики аналогового и цифрового фильтра идентичны, одинакова только их «форма». Например, если амплитудно-частотная характеристика аналогового фильтра монотонно спадает при 0 <W< ¥, то соответствующий цифровой фильтр, полученный с помощью соотношения (3), будет обладать монотонно спадающей АЧХ при 0 <w< ¥,. То есть, если АЧХ аналогового фильтра имеет k подъемов и спадов при 0 <W< ¥, то и амплитудно-частотная характеристика соответствующего цифрового фильтра будет обладать k подъемами и спадами.

В результате перехода к нормированным частотам ЦФ частотные преобразования принимают вид

(4)

Характер деформации частот при билинейном преобразовании показан на рис. 3.

Рис. 3. Преобразование АЧХ аналогового ФНЧ в АЧХ цифрового ФНЧ

Для обеспечения равенства необходимо деформировать частоту аналогового ФНЧ – прототипа: .

Билинейное преобразование обеспечивает простую процедуру перехода от аналоговых к цифровым фильтрам и сохраняет вид частотных характеристик при преобразовании. Это означает, что широкополосные аналоговые фильтры с крутой переходной областью отображаются в широкополосные цифровые фильтры без эффекта наложения . В этом заключается основное преимущество этого метода по сравнению с методом инвариантности импульсной характеристики. Недостатком билинейного преобразования является то, что нелинейность соотношения между цифровой частотой w и аналоговой частотой Ω приводит к искажению частотных характеристик аналоговых фильтров. Кроме того, при этом преобразовании не сохраняется импульсная характеристика .

2.2. Порядок синтеза РЦФ по аналоговому прототипу

Возможны два метода проектирования ЦФ по аналоговому прототипу.

В первом методе расчет аналогового фильтра-прототипа (АФП) начинается с нахождения соответствующего аналогового фильтра-прототипа низких частот (АФПНЧ). В дальнейшем используется подходящее аналоговое частотное преобразование для перевода этого прототипа низких частот в требуемый АФП. Наконец, на основе процедуры отображения этот аналоговый фильтр преобразуется в желаемый цифровой БИХ-фильтр (фильтр с бесконечной импульсной характеристикой), который удовлетворяет предъявленным требованиям. Полностью эта процедура расчета показана на рис. 3.а.

Метод билинейного преобразования (из-за нелинейного соотношения между цифровой частотой w и аналоговой частотой W) дает хорошие результаты только для тех частотных характеристик аналогового фильтра, которые представляют собой ступенчато-образную функцию. Это означает, что процедура отображения (рис. 3.а) не обеспечивает хороших методов расчета фильтров верхних частот, заграждающих и некоторых типов полосовых фильтров.

а) б)

Рис. 3. Процедуры расчета цифровых фильтров

Для исключения этих недостатков используется другой подход к расчету цифровых БИХ-фильтров. Такой способ изображен на рис. 3.б. В этом случае процедура отображения всегда имеет дело с нормированным цифровым прототипом низких частот. Данный подход состоит в нахождении подходящего нормированного аналогового фильтра-прототипа низких частот. Аналоговый прототип отображается в цифровой фильтр-прототип низких частот (ЦФПНЧ ). Наконец, используется цифровое частотное преобразование для перехода от цифрового прототипа низких частот к окончательному варианту, т.е. цифровому фильтру с подходящими характеристиками в полосе пропускания и полосе задерживания и удовлетворяющему предъявленным требованиям.

2.3. Синтез аналогового ФНЧ-прототипа (АФПНЧ)

Синтез АФПНЧ включает выбор аппроксимирующей функции, определение порядка фильтра m, значений нулей s0i и полюсов spi и передаточной функции по заданным граничным частотам Ωс = 1, Ωз и допускам на погрешности аппроксимации δ1 , δ2 ( Aп , Aз ).

Нули и полюса синтезированного АФПНЧ полностью определяют его передаточную функцию H(s):

(5)

где С – нормирующий множитель; m1 – число конечных нулей (m1 < m).

Следует отметить, что полюса АФПНЧ являются вещественными или комплексно-сопряженными числами (со знаком минус перед реальной частью), а конечные нули чисто мнимыми.

Синтез АФПНЧ заключается в аппроксимации его заданной идеализированной ЧХ с помощью соответствующих аппроксимирующих функций. Типичные графики частотных характеристик нормализованного АФПНЧ с полиномиальной и дробной аппроксимациями приведены на рис. 4.

Для частотных характеристик с равноволновыми пульсациями на графиках указаны соответствующие им частоты нулей и полюсов Ωpi , Ω0i ПФ.

Фильтр Баттерворта.

Аппроксимация АФПНЧ Баттерворта имеет только полюса и обеспечивает наиболее гладкую АЧХ.

Порядок фильтра Баттерворта определяется по заданному ослаблению АЗ на некоторой частоте WЗ .

(6)

Фильтр Чебышева 1.

Аппроксимация АФПНЧ Чебышева 1 также имеет только полюса и обеспечивает наиболее быстрый переход из полосы пропускания к полосе заграждения при заданных искажениях в полосе пропускания.

Порядок фильтра Чебышева 1 определяется по заданному ослаблению АЗ на некоторой частоте WЗ и пульсации в полосе пропускания e.

, .

(7)

Рис. 4. Графики частотных характеристик нормализованного АФПНЧ, соответствующие различным аппроксимирующим функциям

Фильтр Чебышева 2 (инверсный).

Аппроксимация АФПНЧ Чебышева 2 (инверсная) имеет не только полюса, но и нули и обеспечивает наиболее быстрый переход из полосы пропускания к полосе заграждения при заданных искажениях в полосе заграждения.

Порядок фильтра Чебышева 2 также определяется по заданному ослаблению АЗ на некоторой частоте WЗ и пульсации в полосе пропускания (выражение 7).

2.4. Переход от АФПНЧ к ЦФ заданного типа

Аналоговый фильтр-прототип низких частот (АФПНЧ) преобразуется к требуемому аналоговому фильтру-прототипу (АФП) с помощью следующих частотных преобразований:

АФПНЧ-АФНЧ : (фильтр низких частот);

АФПНЧ-АФВЧ : (фильтр высоких частот);

АФПНЧ-АПФ : (полосовой фильтр);

АФПНЧ-АРФ : (режекторный фильтр).

Wu – верхняя частота среза, Wl – нижняя частота среза.

Полученный АФП преобразуется в требуемый ЦФ с помощью билинейного преобразования (1, 3).

АФПНЧ может быть преобразован в ЦФПНЧ путем билинейного преобразования (1, 3). Далее выполняются частотные преобразования для получения требуемого ЦФ:

ЦФПНЧ-ЦФНЧ : , ;

ЦФПНЧ-ЦФВЧ : , ;

ЦФПНЧ-ЦПФ : , , ;

ЦФПНЧ-ЦРФ : , , .

wu – верхняя частота среза, wl – нижняя частота среза, w0 – центральная частота ПФ и РФ, wс – частота среза ЦФПНЧ, T – период дискретизации.

2.5. Функции Simulink для проектирования цифровых БИХ–фильтров методом билинейного преобразования

Работа в программе MATLAB осуществляется с помощью симулятора работы виртуального прибора Simulink . Запуск пакета Simulink можно произвести из командного окна MATLAB , нажав пентаграмму в панели инструментов и открыв новую модель (пиктограмма ).

При запуске Simulink открываются два окна: пустое окно untitled (окно для создания блок–диаграммы модели) и окно Library Simulink (библиотека) с перечнем основных разделов библиотеки.

В открывшееся окно untitled необходимо добавить блоки, моделирующие работу источников сигналов, измерительных приборов и аналоговых систем.

Для изменения параметров блоков необходимо выполнить двойной щелчок на пиктограмму блока. При этом должно открыться окно настройки параметров Block Parameters .

2.5.1. Создание модели фильтра

Для моделирования работы цифрового фильтра (ЦФ) составим следующую структурную схему (модель), рис. 5.

Рис. 5. Структурная схема для моделирования работы цифрового фильтра

Модель цифрового фильтра создается с помощью блока Digital Filter Design , рис. 6 ( DSP Blockset/ Filtering/ Filter Design/ Digital Filter Design ).

Рис. 6. Расположение блока Digital Filter Design

Исходные данные ЦФ задаются в блоке параметров фильтра Block Parameteters: Digital Filter Design (рис. 7).

Рис. 7. Блок параметров Digital Filter Design

В блоке параметров цифрового фильтра элементы настройки собраны в 6 групп:

· Current Filter Information – отображается краткая информация о синтезируемом цифровом фильтре (порядок – Order , устойчивость – Stable/ Unstable , количество блоков – Sections , тип структуры фильтра – Filter structure );

· Filter Type – задается тип фильтра:

· Lowpass – ФНЧ;

· Highpass – ФВЧ;

· Bandpass – полосовой фильтр ПФ;

· Bandstop – режекторный фильтр РФ;

· Differentiator – дифференциаторы;

· а также другие типы фильтров;

· Design Method – задается вид аппроксимации:

· IIR – БИХ-фильтры:

· Butterworth – фильтр Баттерворта;

· Chebyshev Type I – фильтр Чебышева 1 рода;

· Chebyshev Type II – фильтр Чебышева 2 рода;

· Elliptic – фильтр эллиптический (Золотарева-Кауэра);

· FIR – КИХ-фильтры (описание режимов расчета приведено в методических указаниях к лабораторной работе №7 «Проектирование цифрового КИХ-фильтра в пакете программ MATLAB»):

· Filter Order – задается порядок фильтра-прототипа ( Specify order) или выставляется флажок расчета минимального порядка фильтра-прототипа ( Minimum order);

· Frequency Specifications – задаются частотные параметры фильтра (количество параметров может изменяться в зависимости от выбранного типа фильтра):

· Units – единицы измерения частоты (Hz – Гц, Normalized (0 to 1) – нормализованный фильтр (в относительных единицах);

· Fs – частота дискретизации;

· Fstop1 – нижняя частота полосы заграждения (на которой обеспечивается затухание Astop1, дБ);

· Fpass1 – нижняя частота полосы пропускания (на которой обеспечивается затухание Apass, дБ);

· Fpass2 – верхняя частота полосы пропускания (на которой обеспечивается затухание Apass, дБ);

· Fstop2 – верхняя частота полосы заграждения (на которой обеспечивается затухание Astop2, дБ);

· Magnitude Specifications – задаются коэффициенты затухания фильтра:

· Units – единицы измерения коэффициента затухания (dB – дБ, Squared – относительные единицы);

· Apass, Epass – коэффициенты затухания в полосе пропускания;

· Astop, Estop – коэффициенты затухания в полосе заграждения.

Для управления параметрами отображения результатов расчета, графиков и выполнения над ними различных действий блок параметров Digital Filter Design имеет панель инструментов, содержащую пиктограммы со следующими назначениями:

создать новый блок настройки параметров ЦФ;

открыть блок настройки параметров ЦФ;

сохранить настройки блока параметров ЦФ;

печать содержимого окна;

окно для предварительного просмотра перед печатью содержимого окна ;

отменить последнее действие;

вернуться на шаг назад;

увеличение содержимого окна;

уменьшение содержимого окна;

вывод в отдельном окне Filter Visualization Tool параметров синтезированного фильтра.

Окно Filter Visualization Tool позволяет визуально просмотреть такие параметры фильтра, как:

АЧХ фильтра;

ФЧХ фильтра;

одновременно в одном окне и АЧХ и ФЧХ фильтра;

импульсная характеристика;

реакция фильтра на ступенчатое воздействие (переходная характеристика);

картина нулей и полюсов фильтра на комплексной Z-плоскости;

значение коэффициентов передаточной функции фильтра (Numerator – коэффициенты числителя, Denominator – коэффициенты знаменателя).

2.5.2 Блок Gain (усилитель)

Поскольку блок Digital Filter Design позволяет рассчитывать только нормированные фильтры, т.е. с единичным усилением в полосе пропускания, то для получения коэффициентов передачи, отличающихся от единицы, необходимо использовать дополнительный блок усилителя Gain ( Simulink/ Math/ Gain ), рис. 8.

Рис. 8. Расположение блока Gain

Коэффициент усиления задается в окне настройки параметров Block Parameters: Gain (рис. 9).

Рис. 9. Окно настройки параметров блока Gain

2.5.3 Блок Signal Generator (универсальный генератор сигналов)

Для того, чтобы подать на вход фильтра сигнал, используются универсальный генератор сигналов Signal Generator ( Simulink / Sources / Signal Generator ), рис. 10.

Рис. 10. Расположение блока Signal Generator

В окне настройки (рис. 11) блока Signal Generator задаются следующие параметры:

· Wave form – форма сигнала:

· sine – синусоидальный сигнал;

· square – прямоугольный сигнал;

· saw tooth – пилообразный сигнал;

· random – случайный сигнал (шум);

· Amplitude и Frequency – амплитуда и частота сигнала;

· Units – единица измерения частоты (Hertz – Герцы или rad / sec – рад/сек).

Рис. 11. Окно настройки параметров блока Signal Generator

2.5.4 Блок Zero - Order Hold (устройство выборки-хранения, УВХ)

Чтобы на вход ЦФ подать цифровой сигнал, используется УВХ, которое преобразует аналоговый сигнал с выхода генератора (Signal Generator ) в дискретные отсчеты сигнала. В качестве УВХ используется блок Zero-Order Hold (Simulink/ Discrete/ Zero-Order Hold), рис. 12.

Рис 12. Расположение блока Zero-Order Hold

В окне настройки блока Zero-Order Hold задается период дискретизации Sample time (рис. 13).

Рис 13. Окно настройки параметров блока Zero-Order Hold

В примере, показанном на рис. 13, период дискретизации определяется по требуемой частоте дискретизации, т.е. 4000 Гц. Следует помнить, что частота дискретизации, выставленная в блоке Zero-Order Hold, должна быть равна частоте дискретизации Fs , указанной при расчете цифрового фильтра (п. 2.5.1 ).

2.5.5 Блок Step

Блок Step (Simulink/ Sources / Step ), рис. 14.а, используется для воспроизведения ступенчатого воздействия.

а) б)

Рис. 14. Расположение блока Step (а) и окно настройки его параметров (б)

При открытии окна настройки параметров сигнала, рис 14.б, в графе Step time задается время начала ступенчатого воздействия, в графах Initial value и Final value – начальное и конечное значения амплитуды ступенчатого воздействия, в графе Sample time – период дискретизации выходного сигнала (если период равен нулю, то сигнал считается непрерывным).

Чтобы найти отклик фильтра на единичное ступенчатое воздействие (переходную характеристику ), нужно составить следующую структурную схему (рис. 15).

Рис. 15. Структурная схема для определения переходной характеристики фильтра

2.5.6 Блок Scope (осциллограф)

Для визуального просмотра сигналов используют блоки, которые при моделировании играют роль смотровых окон; к ним также относится блок Scope (осциллограф) (Simulink / Sinks / Scope ), рис. 16.

Рис. 16. Расположение блока Scope

Блок Scope имеет один вход и позволяет в процессе моделирования наблюдать интересующие пользователя процессы. Основным параметром осциллографа является количество входов (т.е. количество отображаемых сигналов, одновременно в окне может отображаться до 30 сигналов). В зависимости от количества сигналов осциллограф может иметь несколько экранов. Экран осциллографа с двумя входами выглядит так, как показано на рис. 17 (пиктограмма выглядит так ).

Рис. 17. Экран осциллографа Scope с двумя входами

Нажатие пиктограммы приводит к появлению окна настройки параметров Scope ( Scope parameters), рис. 18.

В графе Number of axes задается количество входов осциллографа, Time range – верхний предел времени, отображаемого на оси абсцисс, Tick labels – отображение осей координат (all – все оси, none – нет осей, bottom axis only – только горизонтальная ось). Рекомендуется использовать настройки, выставленные по умолчанию.

Рис. 18. Окно настройки параметров блока Scope

2.6. Режимы моделирования систем в программе Simulink (меню Simulation)

Не смотря на то, что меню Simulation ( моделирование) содержит всего несколько команд (рис. 19), именно они играют основную роль при проведении исследований модели. Посредством этих команд разработчик получает возможность не только динамически управлять сеансом моделирования, но и изменять многие важнейшие параметры модели, такие, например, как способ изменения модельного времени, алгоритм расчета и формат представления результатов моделирования.

Рис. 19. Меню Simulation

Рассмотрим подробнее средства управления моделью и параметрами сеанса моделирования, которые предоставляет исследователю окно Simulation Parameters (параметры моделирования), рис. 20. Элементы управления на вкладке Solver собраны в три группы.

Simulation time (интервал моделирования) – выбор интервала моделирования посредством указания начального (Start time ) и конечного (Stop time ) значений модельного времени.

Рис. 20. Окно установки параметров моделирования

Solver options (параметры расчета) – выбор метода реализации (расчета) модели.

Output options (параметры вывода) – параметры вывода выходных параметров моделируемой системы (при моделировании с переменным шагом).

Под выбором метода реализации модели имеется в виду следующее. Имея структуру исследуемой системы в виде блок–диаграммы, разработчик может выбрать метод отображения хода моделирования. С помощью двух раскладывающихся списков Type (Тип) система может быть реализована в следующих формах:

· с дискретными состояниями и дискретным временем перехода из одного состояния в другое;

· с дискретными состояниями и непрерывным временем перехода;

· с непрерывными состояниями и дискретным временем переходов;

· с непрерывными состояниями и непрерывным временем переходов.

Первый список (слева) позволяет выбрать способ изменения модельного времени:

· Variable – step (переменный шаг) – моделирование с переменным шагом;

· Fixed – step (фиксированный шаг) – моделирование с фиксированным шагом.

Второй список (справа) позволяет выбрать метод расчета нового состояния системы. Первый вариант (discrete ) обеспечивает расчет дискретных состояний системы. Остальные пункты списка обеспечивают выбор метода расчета нового состояния для непрерывных систем. Эти методы различаются для переменного (Variable – step ) и для фиксированного (Fixed – step ) шага времени, но основаны на единой методике – решение обыкновенных дифференциальных уравнений(ode ).

Ниже двух раскрывающихся списков Type находится поле, название которого изменяется в зависимости от выбранного способа изменения модельного времени (рекомендуется оставить параметры по умолчанию).

Параметры других вкладок также можно использовать по умолчанию.

3. ПРОГРАММНОЕ ОБЕСПЕЧЕНИЕ

При выполнении лабораторной работы используется программа MATLAB версии 6.0 и выше.

4. ПРОГРАММА ЛАБОРАТОРНОЙ РАБОТЫ

4.1 Изучить особенности синтеза БИХ-фильтра методом билинейного преобразования в пакете программ MATLAB.

4.2 Выполнить синтез БИХ-фильтра, проанализировать расположение полюсов и нулей фильтра на комплексной Z-плоскости, рассчитать коэффициенты передаточной функции ЦФ, проверить соответствие АЧХ заданным требованиям.

4.3 Найти переходную характеристику фильтра.

4.4 Найти отклики фильтра на гармонические сигналы в полосе пропускания и полосе задерживания, оценить избирательные свойства фильтра.

5. КОНТРОЛЬНЫЕ ВОПРОСЫ

5.1. Что такое импульсная характеристика и передаточная функция ЦФ?

5.2. Что такое порядок ЦФ и как сказывается его значение при реализации фильтра?

5.3. Что такое нули и полюса передаточной функции ЦФ?

5.4. Как определить устойчивость ЦФ по значениям его нулей и полюсов?

5.5. Что такое билинейная преобразующая функция? Как преобразуются частоты аналогового и цифрового фильтров при билинейном преобразовании?

5.6. Как определяются требования к аналоговому фильтру-прототипу в методе билинейного преобразования?

5.7. Каковы особенности аппроксимирующих функций, используемых при синтезе ЦФ? Как зависит порядок синтезируемого ЦФ от вида аппроксимирующей функции?

5.8. Какие параметры фильтра позволяет визуально просмотреть окно Filter Visualization Tool блока параметров Digital Filter Design ?

5.9. Для чего используется блок Gain при моделировании работы ЦФ?

5.10. Каково назначение блока Zero- Order Hold при моделировании работы ЦФ?

5.11. Каким образом задается период дискретизации блока Zero- Order Hold и чему он должен быть равен?

5.12. Какие параметры необходимо установить, чтобы на выходе блока Step получить непрерывное/дискретное ступенчатое воздействие?

6. ПОРЯДОК ВЫПОЛНЕНИЯ ЛАБОРАТОРНОГО ЗАДАНИЯ

6.1 Создать модель фильтра (п. 2.5.1 ) в программе Simulink .

Подготовить и ввести в программу исходные данные (п. 2.5.1 ) в соответствии с заданным вариантом (по номеру компьютера), необходимые для синтеза цифрового ФНЧ : аппроксимация – по Чебышеву 1 (п. 2.7.2 ); частота среза Fс ; коэффициент усиления K0; порядок фильтра-прототипа N=2, пульсации в полосе пропускания – 1дБ.

Вариант

1

2

3

4

5

6

Fс

100 Гц

200 Гц

300 Гц

400 Гц

500 Гц

600 Гц

K0

10

20

30

40

50

60

Частоту дискретизации установить равной 4 кГц.

6.2 Произвести синтез фильтра. Просмотреть и проанализировать следующие параметры фильтра: расположение полюсов и нулей фильтра на Z-плоскости, коэффициенты передаточной функции, АЧХ.

6.3 Сравнить результаты расчета фильтра с параметрами, полученными при синтезе аналогичного ЦФ в программе Mathcad (лабораторная работа №5 «Проектирование цифрового фильтра методом билинейного преобразования в пакете программ Mathcad»).

6.4 Подать на вход ЦФ единичное ступенчатое воздействие (п. 2.5.5 ) и вычислить переходную характеристику системы.

Сравнить полученные результаты с данными, полученными при синтезе аналогичного ЦФ в программе Mathcad .

6.5 Подать на вход ЦФ гармонический сигнал (п. 2.5.3 ) с частотой Fx равной частоте среза фильтра Fc и единичной амплитудой. Построить графики входного и выходного сигнала. Оценить избирательные свойства фильтра.

Сравнить результаты с данными, полученными при моделировании системы в программе Mathcad .

6.6 Повторить п.6.5 для гармонического сигнала с частотой Fx=2×Fc .

6.7 Повторить пункты 6.1 – 6.6 для цифрового полосового фильтра (ПФ) с аппроксимацией Баттерворта 2 порядка: центральная частота полосы пропускания Fс ; коэффициент усиления K0, полоса пропускания DF=0.1×Fc .

Вариант

1

2

3

4

5

6

Fс

100 Гц

200 Гц

300 Гц

400 Гц

500 Гц

600 Гц

K0

10

20

30

40

50

60

Частоту дискретизации установить равной 4 кГц.

6.8 Повторить пункты 6.1 – 6.6 для цифрового режекторного фильтра (РФ) с аппроксимацией Баттерворта 2 порядка: центральная частота полосы заграждения Fс ; коэффициент усиления K0, полоса заграждения DF=0.1×Fc .

Вариант

1

2

3

4

5

6

Fс

100 Гц

200 Гц

300 Гц

400 Гц

500 Гц

600 Гц

K0

10

20

30

40

50

60

Частоту дискретизации установить равной 4 кГц.

7. СПИСОК ЛИТЕРАТУРЫ

1. Глинченко А.С. Цифровая обработка сигналов: учебное пособие. В 2 ч. Ч.1. – Красноярск: Изд-во КГТУ, 2001. – 199 с.

2. Гультяев А. К. Визуальное моделирование в среде MatLab. Учеб. курс. – СПб.: Питер, 2000. – 480 с.

3. Гультяев А. К. MatLab. Иммитационное моделирование в среде Windows: учебное пособие. – СПб.: КОРОНА Принт, 1999. – 288 с.

4. Гольденберг Л.М. и др. Цифровая обработка сигналов. – 2-е изд., перераб. и доп. – М.: Радио и связь, 1990. – 256 с.

5. Рабинер Л., Гоулд Б. Теория и применение цифровой обработки сигналов. / Пер. с англ. под ред. Ю.Н. Александрова. – М.: Мир, 1978. – 848 с.

6. Сергиенко А.Б. Цифровая обработка сигналов. – СПб.: Питер, 2003. – 604 с.

ПРОЕКТИРОВАНИЕ ЦИФРОВОГО БИХ-ФИЛЬТРА

МЕТОДОМ БИЛИНЕЙНОГО ПРЕОБРАЗОВАНИЯ

В ПАКЕТЕ ПРОГРАММ MATLAB

Методические указания к лабораторной работе №6

по курсу “Цифровая обработка сигналов”