Главная              Рефераты - Информатика

МикроЭВМ на базе микропроцессора КР580ВМ80 - реферат

Министерство Образования российской федерации

Донской Государственный Технический Университет


Кафедра "Приборостроение"

"Утверждаю"

зав. кафедрой "Приборостроение"

профессор, к.т.н.

_______________ В.Н. Ананченко

"_____"_________________ 2000 г.


БЛОК МИКРОПРОЦЕССОРНЫЙ

УСТРОЙСТВА КОНТРОЛЯ ОТКЛОНЕНИЙ ФОРМЫ

ДИПЛОМНЫЙ ПРОЕКТ

ПО СПЕЦИАЛЬНОСТИ 1901 "ПРИБОРОСТРОЕНИЕ"

1901.280000.000 ДП


Главный консультант

______________ И.Н. Нестеренко

"______"_______________ 2000 г.

Консультанты:

по экономической части

__________________ С.М. Хащин

"______"________________ 2000 г.

по безопасности

и экологичности проекта

________________ М.П. Петинова

"______"________________ 2000 г.

Разработал:

студент группы П-5-41

зачетная книжка № 95528

________________ К.А. Николаев

"______"________________ 2000 г.




ТЕХНИЧЕСКОЕ ЗАДАНИЕ

1901.280000.000ТЗ


Гл. консультант

И.Н. Нестеренко

Разработчик

К.А. Николаев


Ростов-на-Дону

2000 г.

Наименование и область применения.

Наименование изделия:

Микропроцессорный блок устройства контроля отклонений формы. (далее – Микропроцессорный блок).

Область применения:

Системы контроля механической обработки в условиях единичного и мелкосерийного производства.


Основание для разработки.

Учебный план по специальности 19.01.

Приказ по университету об утверждении тематики дипломных проектов №184 ст от 17.04.2000г.


Цель и назначение разработки.

Целью разработки является проектирование микропроцессорного блока для применения в составе измерительных комплексов измерения отклонений формы с универсальными программируемыми функциями обработки результатов.

Назначение разработки: прием, обработка и выдача в удобном для восприятия виде информации о величине отклонений формы.


Источники разработки.

Курсовой проект по курсу "Системы автоматизированного контроля"

Отчет о преддипломной практике.


Технические требования

Состав продукции и требования к конструктивному устройству.

Микропроцессорный блок состоит из трех основных частей: микроЭВМ; блок клавиатуры и индикации; блок питания.

Габаритные размеры, мм, не более

Масса, кг, не более 3

Показатели назначения

Потребляемая мощность, Вт ≤10

Питание от сети переменного тока:

частота, Гц 50

напряжение, В 220

Разрядность кода 8

Класс точности проектируемого СИ 1,0

Предельное значение основной погрешности, % 1,0

Дополнительная погрешность, вызванная

изменением внешних условий эксплуатации, % 1,0

Время установления показаний, с 0,2

Температура окружающего воздуха, С 205

Относительная влажность окружающего воздуха, % 3080

Атмосферное давление, кПа (мм. рт. ст.) 84106

(630795)

Вибрация:

частота, Гц 1070

максимальное ускорение, м/cІ 240

Удары:

число ударов в минуту 1050

максимальное ускорение, м/сІ 1570

длительность импульсов, мс 620

общее число ударов в минуту 1000

Требования к надежности

Наработка на отказ ≤1000 ч. измерений при вероятности отказа ≤0,9.

Требования к уровню стандартизации ≤60%

Требования к технологичности.

Блок должен соответствовать требованиям технологичности в условиях мелкосерийного производства.

Требования безопасности.

Безопасность при монтаже, эксплуатации и ремонте должна соответствовать ГОСТ 12.2.003-74 ССБТ "Оборудование производственное. Общие требования безопасности"

Условия эксплуатации

Температура окружающей среды в условиях умеренно-холодного климата по ГОСТ 15.150-69, єС 20±3

Относительная влажность окружающего воздуха, % 50±15

Эстетические и эргономические требования.

На наружных частях блока не должно быть дефектов, ухудшающих его внешний вид и влияющих на эксплуатационные показатели качества.

Органы управления должны соответствовать эргономическим требованиям, предъявляемым к промышленному оборудованию по ГОСТ 12.2.032-78.

Требования к маркировке и упаковке.

Упаковка прибора должна соответствовать ГОСТ 13762-86, производиться в закрытом помещении при температуре от +5 до +35 єС и относительной влажности ≤80%.

Маркировка блока должна соответствовать ГОСТ 13762-86

Требования к транспортированию и хранению.

Блок микропроцессорный транспортируется и хранится в соответствии с ГОСТ 13762-86

Блок микропроцессорный должен храниться в сухом, отапливаемом помещении, при температуре окружающего воздуха от +10 до +35 єС и относительной влажности ≤80%.


Экономические показатели.

Индекс доходности 2,91

Лимитная цена, руб. 2401

Точка безубыточности, ед. 65

Срок окупаемости, лет 1


Этапы разработки.

Электрическая схема узла микропроцессора 05.04.00.

Проектирование печатной платы узла микропроцессора 20.04.00.

Проектирование и расчет источника питания 1.05.00.

Проектирование платы печатной источника питания 3.05.00.

Проектирование блока индикации и клавиатуры 5.05.00.

Разработка конструктивного исполнения блока 10.05.00.

Написание пояснительной записки 25.05.00.



№ стр.

Формат Обозначение Наименование Кол-во № экз. Примечание










Документация общая












Техническое задание 4 -

А4 1901.280000.000 ПЗ Пояснительная записка
-

А1 1901.280000.000 Э1 Схема электрическая структурная 1 -

А1 1901.280000.000 ВО Блок микропроцессорный 1




прибора контроля отклонений.





формы. Чертеж общего вида












Документация по сборочным





единицам










А1 1901.280010.000 СБ Узел микропроцессора. 1




Сборочный чертеж



А1 1901.280010.000 Э3 Узел микропроцессора. Схема 1




электрическая принципиальная



А2 1901.280020.000 СБ Блок индикации и клавиатуры. 1




Сборочный чертеж



А2 1901.280020.000 Э3 Блок индикации и клавиатуры. 1




Схема электрическая





принципиальная



А2 1901.280030.000 СБ Блок питания. 1




Сборочный чертеж



А2 1901.280030.000 Э3 Блок питания. Схема 1




электрическая принципиальная
























№ стр.

Формат Обозначение Наименование Кол-во № экз. Примечание










Документация по деталям










А1 1901.280010.001 Плата печатная



А2 1901.280020.001 Плата печатная



А2 1901.280030.001 Плата печатная



























































































































































































С ОДЕРЖАНИЕ Введение 3

Расчет метрологических характеристик 5

Расчет предельного значения основной погрешности 5

Выбор дополнительной погрешности 6

Расчет информационных характеристик 6

Определение разрядности кода 7

Обоснование применения и выбор микропроцессорного

комплекта 9

Выбор АЦП 21

Выбор устройств памяти 24

Оперативное запоминающее устройство

Постоянное запоминающее устройство (ПЗУ)

Входная цепь 34

Описание структурной схемы микропроцессорного блока 37

Генератор тактовых импульсов 39

Системный контроллер и шинный формирователь 42

Программируемый параллельный интерфейс (ППИ) 45

Программируемый контроллер клавиатуры и индикатора 50

Блок индикации и клавиатуры 57

Расчет источника питания 58

Расчет требуемой мощности ИП 58

Выбор схемы источника питания 59

Расчет источника +12В 60
















Изм.


Лист


Дата


Подп.


№ докум.


Разраб.


Провер.


Н. контр.


Утв.


Николаев


Нестеренко


Блок микропроцессорный устройства контроля отклонений формы


Лит.


Лист


Листов


1




1901.280000.000ПЗ


Борисова


Ананченко


ДГТУ

"Приборостроение"




Р асчет источника +5В 64

Расчет источника -5В 69

Расчет трансформатора 73

Расчет обмоток трансформатора 77

Описание конструкции микропроцессорного блока 83

Описание работы микропроцессорного блока

Схема применения блока микропроцессорного

Работа измерительной системы

Обработка сигналов в микропроцессорном блоке

Расчет надежности микропроцессорного блока

Экономическое обоснование проекта

Научно-технический продукт и его характеристика

Источники эффективности технического решения

Уровни исполнения научно-технического продукта

Маркетинговые исследования

Расчет эффективности разрабатываемого прибора

Оценка эффективности проекта

Безопасность и экологичность проекта

Анализ опасных и вредных факторов

Расчет защитного заземления блока

Расчет освещенности рабочего места

Список использованных источников






1 Расчёт метрологических характеристик

Случайная составляющая погрешности измерения, подчиняющаяся закону нормального распределения характеризуется её среднеквадратичным значением . Эта погрешность складывается из методической погрешности измерительной системы (инструментальной).

Для оценки соотношения между метрологической погрешностью и погрешностью измерения, зададимся коэффициентом γ1.

(1.1)

Методическая погрешность:

(1.2)

1.1 Расчет предельного значения основной погрешности.

Среднеквадратическое отклонение случайной составляющей погрешности измерения

(1.3)

По закону равной вероятности среднеквадратическое отклонение методической погрешности равно:

(1.4)

По закону нормального распределения среднеквадратическое отклонение погрешности измерения равно:

(1.5)

(1.6)


Допустимая приведённая основная погрешность системы:


(1.7)


где Smax – диапазон изменения измеряемой величины.

Полученное значение округляется до ближайшего более точного значения взятого из ряда класса точности ГОСТ 8.401-80: 4,0·10-3.

Таки образом, класс точности будет равен 0,4.

Дисперсия некоррелированной случайной погрешности по закону нормального распределения:

(1.8)

1.2 Выбор дополнительной погрешности.

Дополнительная погрешность – это погрешность СИ, вызванная отклонением внешних условий от нормальных, но не превышающих значений условий эксплуатации и использования.

Принимаем дополнительную погрешность, равную основной.

1.3 Расчет информационных характеристик.

Определим шаг квантования.

Случайная составляющая допустимой основной погрешности измерения (на выходе АЦП):

;

(1.9)

Зададимся коэффициентом γ2=0,4;

(1.10)

откуда:

(1.11)

;

(1.12)

(1.13)

Диапазон входной аналоговой величины в нормированном виде:

(1.14)

Шаг квантования:

(1.15)

где:

(1.16)

1.4 Определение разрядности кода

,

(1.17)

где: n – количество разрядов кода;

Sн – Диапазон входной аналоговой величины в нормированном виде. Sн=1000 мкм;

σисн – случайная составляющая допустимой основной погрешности измерения в нормированном виде σисн=1,077.


Таким образом, принимаем разрядность кода равной 8.


Лист




2 Обоснование применения и выбор микропроцессорного комплекта (МПК)

Выбор оптимального МПК для конкретного применения является наименее решаемой из многочисленных проблем развития микропроцессорной техники. Это определяется постоянным ростом количества МПК, расширением области их применения, а так же отсутствием четкой методики, позволяющей сделать однозначный выбор МПК.

Микропроцессор является функционально сложным программно управляемым устройством, выполненным в виде БИС, и характеризуется большим количеством параметров. Поэтому задача выбора оптимального с технической и экономической точек зрения МПК БИС для конкретной задачи является многокритериальной.

При выборе МПК БИС важным является формирование основных требований, предъявляемых к проектируемой аппаратуре. Аппаратура со встроенными микропроцессорами, как правило, должна удовлетворять следующим требованиям:

работа в режиме реального времени;

повышенная надежность, помехозащищенность, простота обслуживания;

наличие фиксированного набора задач, многократно решаемых на протяжении всего срока службы аппаратуры.

Выбор МПК БИС обычно производится с трех основных позиций:

1) с точки зрения разработки математического обеспечения следует анализировать: разрядность, число и использование регистров общего назначения, набор команд и способы адресации, наличие и организацию стека;

2) с точки зрения системного проектирования нужно анализировать следующие характеристики МПК БИС: тип архитектуры МП (секционные или однокристальные) и, как следствие этого, тип организации управления (микропрограммное или с жесткой логикой), наличие логически совместимых БИС из других комплектов, быстродействие МП, возможность прерывания и прямого доступа к память, наличие системы автоматизированного проектирования МПС;

3) с точки зрения разработки аппаратных средств МПС необходимо учитывать: электрическую совместимость БИС, число источников питания и рассеиваемую мощность, размер, тип корпуса и число выводов, диапазон рабочих температур и др.

Комплексный учет всех характеристик МПК БИС весьма затруднителен, так, как одни характеристики относятся к МП как к интегральной схеме, а другие – как к устройству ЭВМ или системы обработки информации.

Одной из основных характеристик, отражающих функциональные возможности МП, может служить его разрядность. Диапазон требуемой разрядности в микропроцессорных системах довольно широк. Так при построении контрольно-измерительных систем и систем сбора данных довольно часто используются 8-разрядные МП, а при построении цифровых фильтров и спектральных анализаторов требуемая разрядность МП возрастает до 32.

Таким образом, исходя из сравнительных характеристик микропроцессорных комплектов приведенных в [11] и учитывая необходимую разрядность микропроцессора, остановим свой выбор на микропроцессорном комплекте КР580.

В микропроцессорном комплекте КР580 центральный процессор вместе с устройством управления реализован в виде отдельной БИС и имеет фиксированную разрядность и встроенную систему команд.

Микропроцессорный комплект серии КР580 включает в себя: микропроцессорную БИС КР580ВМ80; программируемое устройство ввода-вывода параллельной информации различного формата КР580ВВ55; программируемый блок приоритетного прерывания КР580ВН59; программируемое устройство прямого доступа к памяти КР580ВТ57; интервальный таймер КР580ВИ53; универсальный синхронно-асинхронный программируемый приемопередатчик КР580ВВ51; программируемый контроллер электронно-лучевой трубки КР580ВГ75; программируемый контроллер клавиатуры КР580ВВ79; системный контроллер КР580ВК28; тактовый генератор КР580ВК28; тактовый генератор КР580ГФ24; шинные формирователи КР580ВА86, 87; буферные регистры КР580ИР82, 83.

Схемы выполнены по n-МОП технологии, входные и выходные сигналы соответствуют уровням работы ТТЛ-схем. Микро-ЭВМ, построенная на базе комплекта, работает с тактовой частотой до 2 МГц. Схемы программируются с помощью фиксированного набора команд микропроцессорных БИС КР580ВМ80.

Микропроцессорная БИС КР580ВМ80 представляет собой однокристальный 8-разрядный микропроцессор с двумя магистралями: однонаправленной 16-разрядной адресной магистралью (МА), двунаправленной 8-разрядной магистралью данных (МД) и 12 сигналами управления (шесть входных и шесть выходных). Структурная схема микропроцессора показана на рисунке 2. Микропроцессорная БИС рассчитана на выполнение логических и арифметических операций с 8-разрядными числами в двоичной и двоично-десятичной системах счисления, а так же операций с двойной разрядностью (с 16-разрядными числами).




Функциональное назначение внешних выводов БИС КР580ВМ80 следующее:

А0…А15 – адресная магистраль (выходы с тремя состояниями), обеспечивающая адресацию к любой из 216 восьмиразрядной ячейки памяти или внешнего устройства (ВУ);

Д0…Д7 – двунаправленная магистраль данных, используемая для обмена информацией с памятью или ВУ;

СИНХР – на этом выходе БИС формируется сигнал СИНХРОНИЗАЦИЯ в начале каждого машинного цикла;

П – сигнал ПРИЕМ на этом выходе указывает на готовность БИС к приему данных;

ОЖД – сигнал ОЖИДАНИЕ на этом выходе указывает, что микропроцессор находится в процессе ожидания;

Зп – на этом выходе МП БИС сигнал ЗАПИСЬ указывает, что данные выданы микропроцессорной БИС и установлены на МД, т.е. могут быть записаны в ВУ;

ЗХ – вход, используемый для подачи сигнала ЗАПРОС ЗАХВАТА на МП БИС. Обеспечивает переход микропроцессора в состояние ЗАХВАТ, при котором МА и МД переходят в третье (высокоимпендансное) состояние. Обычно это состояние используется для организации обмена информацией по каналу прямого доступа к памяти, а также в многопроцессорных системах;

Р.Пр – на этом выходе сигнал РАЗРЕШЕНИЕ ПРЕРЫВАНИЯ указывает на состояние внутреннего триггера разрешения прерывания МП БИС. Состояние триггера может быть установлено программно. При уровне «0» на выходе Р.Пр прием запросов прерывания МП БИС невозможен;

Г – сигнал ГОТОВ на этом входе информирует о готовности ВУ к обмену информацией с МП БИС. При уровне «0» МП БИС будет находиться в состоянии ОЖИДАНИЕ;

П.ЗХ – На этом выходе МП БИС сигнал ПОДТВЕРЖДЕНИЕ ЗАХВАТА появляется в ответ на сигнал ЗХ и указывает, что МД и МА находятся в высокоимпендансном состоянии. Пока действует сигнал ЗХ процессор приостанавливает выполнение своих операций после окончания текущего машинного цикла;

З.Пр – вход, используемы для подачи сигнала ЗАПРОС ПРЕРЫВАНИЯ. Сигнал поступает от внешнего устройства и требует прерывания выполнения основной программы, перехода на выполнение подпрограмм обслуживания прерывания. Сигнал запроса прерывания не воспринимается МП БИС при работе его в режимах ЗАХВАТ, ОЖИДАНИЕ или нулевом состоянии внутреннего триггера разрешения прерывания;

УСТ – вход, по которому поступает сигнал на начальную установку МП, при этом обнуляются его программный счетчик, внутренние триггеры, формирующие сигналы Р.Пр и П.ЗХ;

Ф1 и Ф2 – вход, для подачи тактовых сигналов Ф1 и Ф2, которые являются непересекающимися во времени сигналами с амплитудой 12 В и определяют тактовую частоту работы МП. Для формирования этих сигналов используется микросхема КР580ГФ24.

Входы источников питания МП БИС – нулевой потенциал (земля), +5В, -5В, +12В.

Микропроцессорная БИС состоит из следующих функциональных блоков (рисунок 2): блока регистров общего назначения; арифметико-логического блока; регистра команд РгК и устройства управления УУ; буферов МД (БФД) и МА (БФА).

Блок регистров общего назначения (РОН) включает в сея шесть программно-доступных регистров, которые составлены в пары: B, C; D, E; H, L. Их можно использовать в программах как отдельные 8-разрядные регистры, а также как три 16-разрядных регистра (B,D,H). Регистры W и Z не являются программно-доступными и используются для выполнения команд внутри МП.

Программный счетчик PC содержит текущий адрес памяти, к которому обращается программа. Содержание PC автоматически изменяется в течение каждого цикла команды. Указатель стека SP содержит адрес памяти, начиная с которого её можно применять для хранения и восстановления содержимого программно-доступных регистров микропроцессора. Двунаправленный шинный мультиплексор MX предназначен для связи РОНов с шиной данных МП; РА – это регистр для хранения адреса памяти.

Арифметико-логический блок (АЛБ) выполняет арифметические и логические операции под воздействием устройства управления МП. Он включает в себя 8-разрядное АЛУ, схему десятичной коррекции ДК, построенную на базе ПЗУ, 5-разрядный регистр признаков, аккумулятор А, буфер аккумулятора БФА и буферный регистр БФРг. Арифметико-логический блок позволяет осуществлять арифметические операции сложения, вычитания, а так же основные логические операции (И, ИЛИ, исключающее ИЛИ) и сдвиг. При проведении операций одно число всегда берется из буфера аккумулятора, а другое из буферного регистра. По результату выполнения арифметико-логических операций АЛБ устанавливает в регистре признаков пять разрядов.

1. Бит переноса устанавливается (равен «1») и сбрасывается (равен «0») при выполнении команд сложения, вычитания, сдвига и логических команд и может быть программно проанализирован. Если в результате выполнения операции появляется единица переноса из старшего разряда, то бит переноса устанавливается, если же переноса не возникает, то бит переноса сбрасывается.

2. Дополнительный признак переноса устанавливается в единицу, если при выполнении команд возникает единица переноса из третьего разряда числа. Состояние разряда может быть проанализировано лишь командой десятичной коррекции числа.

3. Бит знака обеспечивает представление в дополнительном двоичном коде числа от –12810 до +12710. При этом седьмой бит представляет знак числа. Если он содержит единицу, байтом можно представить числа от –12810 до –110, если 0, то от 0 до +12710. В конце выполнения некоторых операций бит знака устанавливается по седьмому биту результата.

4. Бит нулевого признака устанавливается, если результат определенных операций равен 0. Бит нулевого признака сбрасывается, если результат не нулевой. Если операция дает нулевой байт результата и единицу переноса, то бит нуля так же устанавливается.

5. Разряд признака четности, в него записывается единица, если при выполнении команды количество единиц в разрядах результата будет четным.

Регистр команд РгК и дешифратор команд ДШК используются в микропроцессоре для получения и дешифрации команды. При извлечении команды, первый байт, содержащий ее код, помещается в регистр команды и поступает на дешифратор команд.

Устройство управления совместно с дешифратором формирует управляющие сигналы для всех внутренних блоков микропроцессора, а так же его выходные сигналы управления и состояния.

Буферы МД и МА обеспечивают хранение соответственно данных и адресов на время, необходимое для их обработки.

Выполнение каждой команды производится микропроцессором в строгой последовательности, определяемой кодом команды и синхронизируется во времени сигналами Ф1 и Ф2 тактового генератора.

Период синхросигналов Ф1 и Ф2 называется машинным тактом. Длительность машинного такта Т можно установить произвольно в диапазоне 0,4…2 мкс.

Машинный цикл – время, требуемое для извлечения одного байта информации из памяти или выполнения команды, определяемой одним машинным словом. Машинный цикл может состоять из 3…5 машинных тактов.

Время выполнения команды – время получения, декодирования и выполнения команды. В зависимости от вида команды это время может состоять из 1…5 машинных циклов. Для МП КР580ВМ80 существует десять различных типов машинных циклов: извлечение кода команды; чтение данных из памяти; запись данных в память; чтение данных из стека; запись данных в стек; ввод данных из внешнего устройства; запись данных во внешнее устройство; цикл обслуживания прерывания; останов; обслуживание прерывания при работе прерывания в режиме останова.

Первым машинным циклом при выполнении любой команды всегда является цикл извлечения кода команды. В первом такте каждого машинного цикла МП указывает тип выполняемого цикла с помощью 8-разрядного слова состояния процессора, выдаваемого на МД.

Отдельные разряды слова состояния задействуются в микроЭВМ для формирования сигналов в линиях магистрали управления. Слово состояния выдается на МД лишь на короткий промежуток времени, а используется на протяжении всего машинного цикла, поэтому его записывают в специальный регистр слова состояния (РгСС).

Внешние устройства выдают сигналы запроса на прерывания асинхронно по отношению к работе микропроцессора, путем подачи уровня «1» на вход З.Пр. Запрос может быть удовлетворён только в том случае, если микропроцессор находится в состоянии разрешения прерывания. Микропроцессор автоматически устанавливается в состояние запрета прерывания после выполнения начальной установки, у так же после начала обслуживания запроса прерывания. Управление состоянием восприятия прерываний может осуществляться программным путем, с помощью команд «запрет прерываний» и «разрешение прерываний».

Микропроцессор указывает на возможность восприятия прерываний сигналами на выходе Р.Пр. Если на этом выходе присутствует уровень «1», то запросы прерываний могут быть восприняты, в противном случае (уровень «0» на выходе Р.Пр) запросы восприниматься не будут.

Обмен информацией между микропроцессором и и внешними устройствами организуется с помощью трех шин: адресов, данных и управления.

Шина адресов является однонаправленной, выдача адресов на неё осуществляется лишь МП БИС.

Шина данных организует двунаправленный обмен данными между МП БИС и памятью или внешними устройствами. Максимальная нагрузочная способность её невелика, что не позволяет непосредственно к ней подключать системы с большой емкостью памяти и широким набором периферийных устройств. Для увеличения нагрузочной способности шины данных применяют контроллер КР580ВК28.

Шина управления состоит из пяти линий, сигналы на которых формируются из записанного слова состояния МП БИС и его выходных сигналов ПРИЕМ и ЗАПИСЬ.

Восьмиразрядное машинное слово микропроцессора КР580ВМ80 используется для сообщения МП БИС как кода команд, так и данных. Набор команд микропроцессора фиксирован и состоит из 246 различных команд.

Команды МП можно разделить на пять различных групп:

1. Команды пересылки данных, осуществляющие пересылку данных между регистрами или между регистрами и памятью.

2. Арифметические команды, включающие в себя команды сложения, вычитания, увеличения или уменьшения на единицу данных.

3. Логические команды, позволяющие осуществить логические операции И, ИЛИ, исключающее ИЛИ, сравнение, сдвиг или взятие дополнения числа.

4. Команды передачи управления, обеспечивающие безусловную передачу или передачу управления по условию, а так же вызов или возврат из подпрограмм.

5. Команды управления или работы со стеком, организующие ввод-вывод данных из микроЭВМ, доступ к стеку и внутреннему регистру признаков МП БИС, а так же её применение.

Память микроЭВМ на базе МП КР580ВМ80 организована в виде 8-разрядных ячеек, каждая из которых имеет свой 16-разрядный адрес. Таким образом МП БИС может работать с памятью емкостью до 65536 байт. Многобайтовые команды записываются в последовательные ячейки памяти. Первый байт команды всегда содержит код команды. При трехбайтовых командах во втором и третьем байтах содержатся данные (адрес), причем во втором байте записывается младший байт данных, а в третьем – старший байт данных (адреса).

Микропроцессорная БИС имеет четыре типа адресации к памяти или регистрам:

1. Прямая адресация – второй и третий байты команды содержат указание адреса памяти: младший байт адреса во втором байте команды, а старший – в третьем.

2. Адресация к регистру – команда указывает на регистр или пару регистров, в которых записаны данные.

3. Косвенная адресация с помощью регистров – команда указывает на пару регистров, в которых записан адрес памяти, содержащей данные.

4. Непосредственная адресация – команда сама содержит данные. При двухбайтовых данных во втором байте команды записывается младший байт, а в третьем – старший.

За исключением режимов обслуживания прерываний и выполнения команд передачи управления МП БИМ выполняет программы, считывая команды непосредственно из памяти.

В командах передачи управления адрес, к которому будет обращаться МП БИС, может указываться с помощью прямой или косвенной адресации. При выполнении команд передачи управления по условию МП БИС проверяет наличие этого условия и в случае его подтверждения осуществляет передачу управления. При невыполнении условия программа будет продолжаться с команды, записанной в ячейке памяти, следующей после команды передачи управления по условию.

Лист




3 Выбор АЦП

Аналого-цифровые преобразователи (АЦП) применяются в измерительных системах и измерительно-вычислительных комплексах для согласования аналоговых источников измерительных сигналов с цифровыми устройствами обработки и представления результатов измерения.

Различным методам построения АЦП соответствую устройства, различающиеся по точности, быстродействию, помехозащищенности, сложности реализации. Одним из наиболее распространённых является метод поразрядного уравновешивания, называемый также методом последовательного приближения. В АЦП, построенном этим методом, код в регистре результатов меняется так, чтобы обеспечить по возможности быстрое уравновешивание входного напряжения или тока напряжением или током, получаемым с выхода ЦАП, присоединенного к упомянутому регистру. Уравновешивание начинается со старшего разряда. В этом разряде вначале устанавливается единица и оценивается знак разности преобразуемого сигнала и уравновешивающего сигнала, формируемого в ЦАП. Если выясняется, что уравновешивающий сигнал меньше преобразуемого, то установленная в старшем разряде единица в дальнейшем сохраняется, а если больше – то то единица сбрасывается, т.е. в дальнейшем в этом разряде будет сохраняться ноль. Далее таким же образом проверяется , нужна ли единица в соседнем младшем разряде регистра. И так, уравновешивание продолжается до тех пор, пока не будут опрошены все разряды регистра, включая, самый младший. Указанная программа уравновешивания реализуется с помощью логических цепей, входящих в состав регистра результата, называемого в данном случае регистром последовательного приближения. На входы этого регистра поступают тактовые импульсы и выходной сигнал компаратора, сравнивающего преобразуемый сигнал с выхода ЦАП.

Ш
ирокое распространение однобайтных процессоров вызвало разработку восьмиразрядных аналого-цифровых преобразователей. Таковыми, в частности являются АЦП типов К572ПВ3 и К572ПВ4.

Схема включения АЦП К572ПВ3 показана на рисунке 3. Время преобразования этого АЦП равно 7,5 мкс, ток потребления от одного источника питания 5 В составляет всего лишь 5 мА. АЦП имеет два одинаковых входа AI1 и AI2, соединённых внутренними резисторами с одним входом компаратора. На этот же вход компаратора подаётся выходной ток цифро-аналогового преобразователя, управляемого регистром последовательного приближения. Таким образом, АЦП обеспечивает преобразование в код суммы напряжений, подаваемых на входы AI1 и AI2. При практическом использовании этого АЦП на вход AI1 подают входное напряжение Uвх, а на вход AI2 – напряжение, с помощью которого производят регулировку нуля АЦП. Управление работой АЦП К572ПВ3 осуществляется с помощью сигналов, подаваемых на входы (выбор кристалла) и (чтение). Переход 0/1 на входе запускает схему сброса АЦП, потенциал 0 на входе осуществляет запуск преобразователя при условии, что предварительно был осуществлён его сброс. Таким образом, если =0, то переход 0/1 на входе обусловит сброс и запуск преобразователя. Если же =1, то этот переход вызывает только сброс, а запуск произойдет по спаду 1/0 на входе . Выход BU (АЦП занят) принимает потенциал «нуль» тогда, когда осуществляется процесс преобразования. Данные на кодовом выходе N существуют при сочетании сигналов =0, =0, =1, если же этого сочетания нет, если же этого сочетания нет, то выход находится в высокоимпендансном состоянии.

Лист




4 Выбор устройств памяти

Одним из основных элементов современных микроЭВМ являются запоминающие устройства (ЗУ), которые во многом определяют их производительность. Необходимость в запоминании некоторых объёмов информации вызвала создание интегральных схем, состоящих из различного числа запоминающих элементов. В настоящее время наиболее широкое распространение получили полупроводниковые ЗУ, благодаря своей технологичности, надежности, малым габаритным размерам и массе. По функциональному назначению полупроводниковые ЗУ делятся на оперативные (ОЗУ) и постоянные (ПЗУ).

4.1 Оперативное запоминающее устройство

Оперативные ЗУ предназначены для хранения переменной информации и имеют практически одинаковое быстродействие при считывании и записи. По способу хранения информации ОЗУ делятся на два основных типа: статические и динамические. Статические запоминающие элементы могут хранить информацию сколь угодно долго, пока подается электропитание. Динамические запоминающие элементы, напротив, способны хранить информацию только непродолжительное время. Поэтому для хранения информации её нужно периодически обновлять, или, другими словами регенерировать. Для обоих типов оперативных ЗУ существует множество различных схем. Их разнообразие отражает не только множество технологий (ТТЛ, n-МОП, КМОП, ЭСЛ и т.д.) и конструкций, но ещё и разнообразие требований, предъявляемым к модулям памяти в отношении быстродействия, ёмкости, плотности упаковки элементов и потребляемой мощности.

Статические ЗУ с произвольной выборкой (Random Access Memory) строятся на триггерах с непосредственными связями, которые могут неограниченно долго хранить информацию при включенном питании. Эти ОЗУ очень просты в эксплуатации, обладают высокой помехоустойчивостью, не требуют дорогих и сложных схем обслуживания, благодаря чему достигается умеренная стоимость всей системы памяти. При интегральной реализации статических ОЗУ используются два вида запоминающих матриц: накопители повышенного быстродействия (время цикла менее 100 нс) без схем дешифрации со средней степенью интеграции в БИС (до 256 бит); накопители среднего быстродействия (время цикла 300-1000 нс) с повышенной информационной ёмкостью от 256 до 16384 бит со схемами дешифрации.

С
татические ОЗУ в зависимости от принципа построения накопителя имеют словарную или матричную организацию. При словарной организации ОЗУ обращение производится одновременно к запоминающим элементам нескольких разрядов, соответствующих некоторой части слова или всего слова. Основными достоинствами ОЗУ со словарной организацией является простота базовой ячейки, и минимальное число шин управления, необходимых для реализации накопителя. Важное значение имеет также и то обстоятельство, что при словарной организации матрицы БИС в виде m одноразрядных слов удается обеспечить минимальную мощность рассеяния в режиме записи и считывания.

Обобщенная структура БИС со словарной организацией матрицы приведена на рисунке 4.1. Код адреса n-разрядного слова подается на адресный дешифратор, который выбирает нужное слово. Адресный усилитель возбуждает соответствующую словарную шину и слово, код которого поступает на входные разрядные шины, записывается в выбранную строку матрицы согласно коду адреса. Аналогично, с помощью разрядных усилителей производится считывание выбранного слова в выходной регистр.

При матричной организации БИС возможно обращение к любому ЗЭ накопителя независимо от других элементов, расположенных на той же

БИС. Микросхемы с матричной организацией называют также ОЗУ с разрядной организацией или с двухкоординатной выборкой.

О
бобщенная структурная схема БИС ОЗУ с матричной организацией приведена на рисунке 4.2. Код адреса ячейки поступает на адресные дешифраторы, которые выбирают в накопителе нужную строку и столбец. Выборка ячейки происходит по принципу совпадения сигналов возбуждения соответствующих шин по двум координатам. При матричной организации ОЗУ часто используется метод выборки столбца с помощью селектора данных. Для чтения по линиям , соответствующим столбцам, содержимое всех элементов строки посылается в селектор, который выбирает бит одного столбца в соответствии с заданным адресом и выдает этот бит на выходную линию данных. Специальные схемы в запоминающем элементе осуществляют как доминирование поступающего извне значения, так и сохранение этого значения в ЗЭ выбранной строки.

При разработке ОЗУ большой ёмкости (≥16 Кбит) применяется микросхемы ОЗУ динамического типа, в которых увеличение ёмкости достигается за счет уменьшения числа элементов и как следствие уменьшение занимаемой площади. Уменьшение числа элементов происходит при использовании динамических запоминающих ячеек, в которых информация хранится в виде заряда соответствующих ёмкостей. Ток утечки обратно смещенного p-n перехода имеет значение не более 10-10 А, а ёмкость накопительного конденсатора не превышает 0,1-0,2 пФ, следовательно постоянная времени разряда конденсатора t≥1 мс. Поэтому для выдачи состояния низкого или высокого уровня сигнала на выходе БИС необходимо осуществлять периодическое восстановление информации (или её регенерацию) с периодом tREF ≤1ч2 мс.

Таким образом, главные отличия динамических устройств памяти от статических заключаются в следующем: отсутствует источник питания запоминающих ячеек; необходимы логические схемы, обеспечивающие регенерацию ячеек; обрамление требует более сложных схем; максимальная простота схемы накопителя, для обеспечения минимально занимаемой площади; меньшая потребляемая мощность.

Итак, проведя сравнительный анализ принципов работы и основных характеристик статических и динамических устройств памяти [11] выберем ОЗУ статического типа со словарной организацией К537РУ10, условное обозначение которой и наименование выводов показаны на рисунке 4.3. Данная ИМС содержит матрицу запоминающих элементов 128Ч128 М, представляющую собой н
акопитель ёмкостью 16384 бит (16 Кбит), дешифраторы адреса строк (DCK) и столбцов (DCS), блок управления СИ, адресные и выходные формирователи и разрядные усилители записи-считывания. Режим работы устанавливается с помощью сигналов CS, OE, WE.

4.2 Постоянное запоминающее устройство (ПЗУ)

В настоящее время разработаны и выпускаются ПЗУ нескольких типов:

ПЗУ масочного типа;

программируемые ПЗУ;

электрически программируемые ПЗУ;

электрически программируемые ПЗУ с ультрафиолетовым стиранием.

Масочные ПЗУ – микросхемы, в которых информация записывается при изготовлении с фиксированным рисунком межсоединений, определяемым маской (шаблоном). В ПЗУ запоминающие элементы объединены в двухкоординатную матрицу, образованную при пересечении совокупности входных (чисел) и выходных (разрядов) информационных шин. В местах пересечений шин могут быть включены диоды, биполярные транзисторы и МОП-транзисторы. Наибольшее распространение получили ПЗУ на МОП-транзисторах ввиду технологической простоты и связанной с этим возможностью получения высокой степени интеграции, а так же малой потребляемой мощностью. Запись информации в масочное ПЗУ производится с помощью сменного заказного фотошаблона. Документом, определяющим хранимую в накопителе информацию, является карта заказа на данную микросхему. Изготовление маски довольно дорого, но с помощью одной маски можно запрограммировать любое число модулей памяти. Следовательно, масочные ПЗУ рентабельны при крупносерийном производстве.

Постоянные запоминающие устройства, допускающие однократное программирование у заказчика – это микросхемы, в которых состояние ячеек можно задать уже после изготовления устройства (создав либо разрушив перемычки). Наибольшее распространение получили перемычки в виде плавких вставок (например из нихрома или поликремния), которые можно избирательно пережечь, с помощью внешнего источника тока. Накопитель ППЗУ представляет собой матрицу на биполярных транзисторах с плавкими перемычками, включенными последовательно с эмиттерами транзисторов, т.е функциональная схема БИС ППЗУ аналогична схеме масочного ПЗУ.

Программирование БИС ППЗУ разных серий производится на специальных устройствах-программаторах. В табл.1 приведены некоторые типы отечественных программаторов

Постоянные запоминающие устройства, допускающие многократное программирование и сохраняющие информацию при отключении питания (Errasеble-Programmable-Read-Only-Memory – стираемая программируемая память только со считыванием) – микросхемы, использующие элементы коммутации, которые можно устанавливать в одно (замкнутое) состояние избирательно, а в другое (разомкнутое) – коллективно.

П
рограммирование таких ПЗУ сводится сначала к коллективной установке всех перемычек в одно состояние, что равносильно стиранию ранее записанной информации и последующей поочередной установки нужных перемычек в другое состояние.

Электрически программируемые ПЗУ характеризуются сочетанием положительных качеств ПЗУ – энергонезависимым хранением информации и высокой удельной плотностью её записи с возможной многократной сменой информации, как в ОЗУ.

Микросхемы со стиранием ультрафиолетом представляют собой РПЗУ на основе лавинно-инжекционных МОП-транзисторов с плавающим затвором, в которых запись информации осуществляется электрическим способом, а для стирания информации требуется облучение ультрафиолетовым излучением.

Ячейка памяти (запоминающий элемент) накопителя – это МОП-транзистор с двумя расположенными друг над другом затворами (рисунок 4.4).

О
тличие работы такого транзистора от обычного транзистора заключается в том, что его пороговое напряжение по верхнему затвору (получившему название управляющего), а следовательно и вся вольт-амперная характеристика могут изменяться в зависимости от накопленного заряда на нижнем затворе. Нижний затвор получил название "плавающего затвора", так, как он со всех сторон окружен окислом и не имеет электрического контакта с другими элементами схемы. При операции записи на управляющий затвор и сток элемента памяти подается высокое напряжение (порядка 25 вольт). Электроны под действием поля в канале приобретают большую энергию, «выбрасываются» в окисел и под действием поля управляющего затвора дрейфуют на плавающий затвор, где и накапливаются.

После программирования микросхемы у всех запрограммированных ячеек памяти пороговое напряжение по управляющему затвору сдвигается на 10-12 вольт за счет экранирования канала зарядом плавающего затвора и лежат в пределах 14-16 вольт. Такой сдвиг порогового напряжения ячейки возможен при длительности программирования ячейки памяти порядка 100-300 мс.

В режиме считывания на управляющий затвор подается напряжение не больше 10 вольт, что значительно ниже указанного выше порогового напряжения. запрограммированного элемента памяти. В данном случае транзистор не проводит ток.

Время хранения информации в ячейке памяти определяется временем теплового растекания (растекания под действием собственного поля заряда, накопленного на плавающем затворе и поля управляющего затвора в режиме считывания). Растекание заряда определяется качеством окисла, изолирующего плавающий затвор.

Стирание информации осуществляется ультрафиолетовым излучением с длиной волны менее 400 нм. При взаимодействии квантов ультрафиолетового излучения с электронами на плавающем затворе энергия квантов передается электронам, которые, преодолевая потенциальный барьер, уходят в подложку кристалла

Необходимо отметить, что ПЗУ с УФ-стиранием наиболее оптимально подходят для применения в аппаратуре, выпускаемой небольшими партиями или единичным производством.

Итак, сравнительный анализ принципов работы и основных характеристик различных типов ПЗУ [11] показал, что наиболее оптимальным для применения в разрабатываемом микропроцессорном блоке являются ПЗУ с ультрафиолетовым стиранием типа К573РФ2, основные параметры и условно-графическое обозначение которой приведены ниже.

Ток потребления, мА:

ICC 100

IWE 5

Выходное напряжение низкого уровня

при IOL=1,6 мА UOL, В 0,45

Выходное напряжение высокого уровня

при IOH=200 мкА UOH, В 2,4

Время выборки адреса, tAA, нс 450

Число циклов программирования NC 100

Время хранения информации, ч:

при включенном питании tH1 25000

при выключенном питании tH2 25000

Напряжение питания, В

UCC1 50,25

UCC2 120,6

Емкость, Кбит (Кбайт) 16 (2)

М
икросхемы серии К573 имеют ряд особенностей:

Информация считывается в том же коде, в каком записывается.

Выводы микросхем могут находиться в трех состояниях: с низким уровнем напряжения, с высоким уровнем напряжения, и высокоимпендансном состоянии.

Лист




5 Входная цепь

Входная цепь микропроцессорного блока предназначена для согласования входного аналогового сигнала поступающего с датчика со входом аналого-цифрового преобразователя.

В
ходная цепь представляет собой усилительный каскад на операционном усилителе с небольшим коэффициентом усиления. Необходимость применения входной цепи вызвана, прежде всего, тем, что сигнал поступающий с индуктивного датчика перемещения не всегда соответствует требованиям входного сигнала АЦП. Входная цепь выполняет функции буферирующего каскада, предохраняющего АЦП от значительных отклонений напряжения входного сигнала.

Выбор элементной базы и схемы входной цепи производится с учетом параметров входного сигнала и входных параметров аналого-цифрового преобразователя.

В нашем случае выбор входной цепи будет зависеть от следующих параметров:

Диапазон выходного напряжения измерительного преобразователя, В

0,22,0

Диапазон входного напряжения АЦП, В
0,44,5
Коэффициент усиления
 2,0

Исходя из данных значений, выберем операционный усилитель К140УД6 со следующими параметрами:

Наименьший коэффициент усиления, тыс
50

Напряжение питания, , В


520

Ток потребления, , мА


3,0

Напряжение смещения, , мВ


8

Входной ток, , нА


50

Ток смещения, , нА


15

Наименьшее сопротивление нагрузки, , кОм


1,0

В схеме, приведенной на рисунке 5 резисторы , и представляют из себя делитель напряжения для подачи напряжения смещения на вход ОУ, причем позволяет точно подобрать значение напряжения смещения. Номиналы резисторов делителя выбираются с таким расчетом, чтобы уменьшить влияние входного тока ИМС на делитель.


(5.1)

(5.2)

подбирается так, чтобы уменьшить влияние делителя на входной сигнал. Коэффициент усиления определяется соотношением и .

В соответствии с вышеизложенным и руководствуясь [3] выберем номиналы сопротивлений:


Лист




6 Описание структурной схемы микропроцессорного блока.

Структурная схема микропроцессорного блока приведена на рисунке 6.1. Основными элементами микропроцессорного блока являются:

микропроцессор КР580ВМ80;

тактовый генератор;

шинный формирователь КР580ВК28;

оперативно запоминающее устройство (ОЗУ);

постоянно запоминающее устройство (ПЗУ);

входная цепь на базе ОУ К140УД6;

аналого-цифровой преобразователь (АЦП);

программируемый параллельный адаптер;

программируемый контроллер клавиатуры и индикации (ПККиИ);

клавиатура;

индикатор;

шина адресов;

шина данных;

шина управления.

Разработка ЭВМ на базе микропроцессорного комплекта КР580 требует решения следующих основных задач: определения состава интерфейсных устройств ввода-вывода; определение состава памяти; разработка системы прерываний и прямого доступа к памяти; разработка системы адресации и внутреннего интерфейса микроЭВМ; обеспечение допустимой нагрузочной способности компонентов и микроЭВМ.

При создании микроЭВМ на базе микропроцессорного комплекта КР580ВМ80 могут использоваться различные оперативные, постоянные и полупостоянные устройства памяти. Эти ЗУ могут отличаться емкостью, способом организации, временными характеристиками, числом входов выборки кристалла, составом управляющих входов и некоторыми другими параметрами. Одни из них непосредственно сопрягаются с шиной данных микроЭВМ, другие требуют дополнительных буферных элементов. Поэтому задача выбора БИС ЗУ для микроЭВМ требует учета всех перечисленных факторов.

Р
азработка системы адресации и внутреннего интерфейса микроЭВМ сводится главным образом к решению задачи выбора способа обращения к устройства памяти и ввода-вывода и к присвоению адресов. Возможны два способа обращения: с использованием признаков текущего машинного цикла и с разделением адресов между запоминающими устройствами и устройствами ввода-вывода. В первом случае ячейка памяти ячейка памяти и устройство ввода-вывода могут иметь одинаковые адреса, а выбор устройства памяти или устройства ввода-вывода осуществляется с помощью определённых разрядов слова признака машинного цикла. Это слово должно быть выделено с шины данных в начале каждого машинного цикла и записано в специальный регистр. Во втором случае одна из адресных линий выделяется для указания типа адресации (обращение к памяти или ввод-вывод). Этот способ уменьшает объем адресуемой памяти в два раза, однако позволяет исключить регистр слова признаков текущего цикла. Если рассматривать внутренний интерфейс, микроЭВМ, как совокупность шин данных, адресной и управления, то использование слова признаков увеличивает число управляющих сигналов, это упрощает системную организацию микроЭВМ. Второй способ обращения можно представить, как перевод одной (или более) адресной линии из адресной шины в шину управления.

Вопрос присвоения адресов должен решаться с учетом разрядности адресных шин устройств и числа входов выборки кристаллов., в том числе прямых и инверсных. Лишь в простейших случаях решение этой задачи не связано с введением дополнительных логических элементов. Электрическое сопряжение компонентов микроЭВМ должно производиться исходя из их нагрузочной способности. Основную нагрузку обычно несет шина данных МП.

6.1 Генератор тактовых импульсов

Генератор тактовых импульсов выполнен на микросхеме КР580ГФ24 и предназначен для синхронизации микропроцессорной системы. Генератор тактовых импульсов (ГТИ) формирует тактовые импульсы с частотой до 2,5 МГц и амплитудой 12 В, а так же некоторые управляющие сигналы для микропроцессорной системы.

Структурная схема ГТИ представлена на рисунке 6.2, а подключение ГТИ к МП показано на рисунке 6.3. ГТИ состоит из задающего генератора, (SGN), генератора тактовых импульсов (GLG), порогового элемента, формирователей и логических схем. Для работы ГТИ необходимо подключение внешнего кварцевого резонатора с частотой в 9 раз большей, чем частота выходных тактовых импульсов ГТИ.

Основные временные характеристики тактовых импульсов следующие:

Время переднего и заднего фронтов (tLH, tHL), нс 0ч50

Ширина импульса CLK1 (tCLK1), нс ≥60

Ширина импульса CLK2 (tCLK2), нс ≥220

Временной интервал между задним фронтом

CLK1 и передним фронтом CLK2 (tD1), нс ≥0

Временной интервал между задним фронтом CLK2

и передним фронтом CLK1 (tD2), нс ≥70

Задержка CLK2 по отношению к CLK1, tD3,нс ≥80

Основные электрические параметры микросхемы КР580ГФ24 следующие:

Входное напряжение L-уровня UIL, В 0,8

Входное напряжение H-уровня UIH, В 0,2

Напряжение RESIN, UIH-UIL, В 0,25

Выходное напряжение L-уровня UOL, В 0,45

Выходное напряжение H-уровня UOH, В:

на выходах CLK1, CLK2 9,4

на выходах READY, RESET 3,6

на всех других выходах 2,4

Ток источника питания ICC, мА 115

Т
ок источника питания IDD, мА 12

Назначение входных и выходных сигналов:

XTAL1, XTAL2 – входы для подключения кварцевого резонатора.

TANK – вход для подключения параллельного LC-контура.

SYNC – вход синхронизации.

RESIN – входной сигнал «СБРОС».

RDYIN – вход сигнала «ГОТОВ», для подачи сигналов о готовности внешних устройств к работе с МП.

OSC – выход генератора, используемый для тактирования периферийных устройств.

CLK1, CLK2 – выходы тактовых импульсов.

STSTB – строб состояния – сигнал L-уровня, используемый для фиксации слова состояния МП.

RESET – выходной сигнал «СБРОС».

READY– выходной сигнал «ГОТОВ».

П
итание генератора тактовых импульсов осуществляется источником напряжения +5В.

6.2 Системный контроллер и шинный формирователь КР580ВК28.

Системный контроллер и шинный формирователь КР580ВК28 предназначен для фиксации слова состояния МП, выработки системных управляющих сигналов, буферизации шины данных МП и управления направлением передачи данных.

Структурная схема системного контроллера и шинного формирователя (СКФ) приведена на рисунке 6.4. В состав СКФ входят: шинный формирователь-усилитель (BF), обеспечивающий увеличение нагрузочной способности системной информационной шины; регистр (RG) для записи и хранения слова состояния МП; комбинационная схема (PLA) для формирования выходных управляющих сигналов.

Назначение входных, выходных и управляющих сигналов:

D0…D7 – входы – выходы данных со стороны МП.

STSTB – строб состояния от ГТИ.

DBIN – вход сигнала «ПРИЁМ» от МП.

WR – вход сигнала «ВЫДАЧА» от МП.

HLDA – вход сигнала «ПОДТВЕРЖДЕНИЕ ЗАХВАТА» от МП.

DB0…DB7 – входы-выходы системной шины данных.

MEMR – чтение памяти.

MEMW – запись в память.

I/OR – чтение с ВУ.

I/OW – запись в ВУ.

B
USEN – разрешение работы шин – сигнал H-уровня, устанавливающий все выходы в высокоимпендансное состояние

INTA – подтверждение прерывания – сигнал L-уровня, используемый для стробирования ввода адреса подпрограммы обслуживания прерывания.

Основные электрические параметры микросхемы КР580ВК28.

Выходное напряжение L-уровня UOL, В:

На шине D0…D7 ≤0,45

На всех других выходах ≤0,45

Выходное напряжение H-уровня UOH, В:

На шине D0…D7 ≥3,6

На всех других выходах ≥2,4

Ток потребления от источника питания ICC, мА ≤190

Прямой входной ток IB, мкА:

На входе STSTB ≤500

На других входах ≤250

Обратный входной ток II, мкА:

На входе STSTB ≤100

На других входах ≤100



По сигналу STSTB, который вырабатывается ГТИ, происходит запись слова-состояния в регистр хранения, а затем выдача его на комбинационную схему, формирующую управляющие сигналы.

6.3 Программируемый параллельный интерфейс (ППИ)

БИС программируемого параллельного интерфейса КР580ВВ55 предназначена для организации ввода/вывода параллельной информации различного формата и позволяет реализовать большинство известных протоколов по параллельным каналам. БИС программируемого параллельного интерфейса (ППИ) может использоваться для сопряжения микропроцессора со стандартным интерфейсным оборудованием (дисплеем, телетайпом, накопителем). В нашем случае ППИ используется для сопряжения аналого-цифрового преобразователя с микропроцессорной системой. Структурная схема ППИ приведена на рисунке 6.6. В состав БИС входят: Двунаправленный 8-разрядный буфер данных (BD), связывающий ППИ с системной шиной данных; блок управления записью/чтением (RWCU), обеспечивающий управление внешними и внутренними передачами данных, управляющих слов и информации о состоянии ППИ; три 8-разрядных канала ввода/вывода (PORT A, B и C) для обмена информацией с внешними устройствами; схема управления группой A (CUA), вырабатывающая сигналы управления каналом A и старшими разрядами канала C [PC(7-4)]; схема управления группой B (CUB), вырабатывающая сигналы управления каналом B и младшими разрядами канала C [PC(3-0)].

Назначение входных, выходных и управляющих сигналов ППИ:

D(7-0) – ввод/вывод данных;

RD – чтение; L-уровень сигнала разрешает считывание информации из регистра, адресуемого по входам A0, A1 на шину D(7-0).

WR – запись; L-уровень сигнала разрешает запись информации с шины D(7-0) в регистр ППИ, адресуемый по входам A0, A1.

A0, A1 – входы для адресации внутренних регистров ППИ.

RESET – сброс; H – уровень сигнала обнуляет регистр управляющего слова и устанавливает все порты в режим ввода.

CS – выбор микросхемы; L-уровень сигнала подключает ППИ к системной шине.

PA(7-0) – ввод/вывод канала А.

PB(7-0) – ввод/вывод канала B.

PC(7-0) – ввод/вывод канала C.

С
игналы управления работой ППИ подаются на блок RWCU и вместе с адресными входами A0, A1 задают вид операции, выполняемой БИС как указано в таблице 6.1.

Режим работы каждого из каналов ППИ программируется с помощью управляющего слова. Управляющее слово может задать один из трех режимов: основной режим ввода/вывода (режим 0), стробируемый ввод/вывод (режим 1), режим двунаправленной передачи информации (режим 2). Одним управляющим словом можно установить различные режимы работы для каждого из каналов. Формат управляющего слова представлен на рисунке 6.7.

Канал A может работать в одном из трех режимов, канал B – в режимах 0 и 1. Канал C может быть использован для передачи данных только в режиме 0, а в остальных режимах он служит для передачи управляющих сигналов, сопровождающих процесс обмена по каналам A и B.

Разряд D7 управляющего слова определяет либо установку режимов работы каналов (D7=1), либо работу ППИ в режиме сброса/установки отдельных разрядов канала C (D7=0). При поразрядном управлении каналом C разряды D3-D1 определяют номер модифицируемого разряда; разряд D0 задает сброс (D0=0) или установку (D0=1) модифицируемого разряда; разряды D6-D4 не используются.

Сброс/установку разрядов канала С можно использовать для выработки сигналов запроса прерывания от ППИ. Для каждого из каналов A и B в ППИ имеется триггер разрешения прерывания, установка/сброс которого осуществляется управляющим словом установки/сброса отдельного разряда канала C. Если триггер разрешения прерывания соответствующего канала установлен (INTE=1), то ППИ может сформировать сигнал запроса прерывания при готовности внешнего устройства к вводу или выводу.

Режим 0 применяется при синхронном обмене или при программной организации асинхронного обмена. Микросхема может рассматриваться в этом режиме, как устройство, состоящее из четырех портов (два 8-разрядных и два 4-разрядных), независимо настраиваемых на ввод или вывод. Вывод информации осуществляется по команде OUT микропроцессора с фиксацией выводимой информации в регистрах каналов, а ввод – по команде IN без запоминания информации.

Режим 1 обеспечивает стробируемый однонаправленный обмен информацией с внешним устройством. Передача данных производится по каналам A и B, а линии канала C управляют передачей. Работу канала в режиме 1 сопровождают три управляющих сигнала. Если один из каналов запрограммировать на режим 1, то остальные 13 интерфейсных линий можно использовать в режиме 0. Если оба канала запрограммированы на режим 1, то оставшиеся две интерфейсные линии канала C могут быть настроены на ввод или вывод.

В режиме 1 для ввода информации используются следующие управляющие сигналы:

строб приема (STB) – входной сигнал, формируемый внешним устройством; указывает на готовность ВУ к вводу информации;

подтверждение приема (IBF) – выходной сигнал ППИ, сообщающий ВУ об окончании приема данных в канал; формируется по спаду STB;

запрос прерывания (INTR) – выходной сигнал ППИ, информирующий МП о завершении приема информации в канале; H-уровень сигнала устанавливается при STB=1, IBF=1 и INTE=1; сбрасывается спадом сигнала RD.

Для операции ввода управления сигналом INTE канала A осуществляется по линии PC4, а канала B – по линии PC2.

Для вывода информации в режиме 1 используется следующие управляющие сигналы:

строб записи (OBF) – выходной сигнал, указывающий внешнему устройству о готовности к выводу; формируется по фронту сигнала WR;

подтверждение записи (ACK) – входной сигнал от внешнего устройства, подтверждающий прием информации из ППИ;

запрос прерывания (INTR) – выходной сигнал ППИ, информирующий МП о завершении операции вывода информации; H-уровень сигнала устанавливается по фронту сигнала ACK при OBF=1 и INTR=1; сбрасывается спадом сигнала WR;

Для операции вывода управление сигналом INTE канала A осуществляется по линии PC6, а канала B – по линии PC2.

Режим 2 обеспечивает двунаправленную передачу информации по каналу A к внешнему устройству и обратно. Процесс обмена сопровождают пять управляющих сигналов, подаваемых по линиям PC7-PC3. Оставшиеся 11 интерфейсных линий могут настраиваться на режим 0 или режим 1.

В условиях данной разработки применять режим 1 для обмена информацией между АЦП и ППИ нецелесообразно, так как он усложняет схему и процесс обмена данными и занимает свободные (резервные) интерфейсные линии порта C, не улучшая динамических и метрологических характеристик устройства. Режим 2 также применять нецелесообразно, так как он применяется для двунаправленного обмена данными.

Из вышесказанного можно сделать вывод, что для передачи данных из АЦП в ППИ наиболее оптимальным было бы использование режима 0, при подключении АЦП к порту A. При этом остаются свободными порты B и C, которые могут в дальнейшем использоваться при модификации микропроцессорного блока.

6.4 Программируемый контроллер клавиатуры и индикатора.

Для облегчения построения интерфейса пользователя микропроцессорный комплект КР580 включает в себя БИС КР580ВВ79, которая представляет собой программируемое интерфейсное устройство, предназначенное для ввода данных с клавиатуры и вывода информации на дисплей. Микросхема программируемого контроллера клавиатуры и индикации (ПККИ) состоит из двух основных функционально разделимых частей: клавиатурной и дисплейной. Клавиатурная часть предназначена для сопряжения с клавиатурой печатающих устройств и с произвольными наборами переключателей. Дисплейная часть ПККИ позволяет отображать информацию с помощью индикаторов различных типов (дисплеев). Упрощенная структурная схема ПККИ приведена на рисунке 6.8.В состав БИС входят:

буферы клавиатуры и датчиков (BF), включающие также схему управления и устранения дребезга клавиатуры, предназначенные для хранения входной информации в режимах сканирования клавиатуры, наборов датчиков и ввода по стробу;

с
хема управления вводом/выводом (RWCU), вырабатывающая сигналы управления обмена с МП и внутренними пересылками данных и команд;

буферы канала данных (BD), предназначенные для обмена информацией между ПККИ и МП;

ОЗУ клавиатуры (STACK), работающие по принципу FIFO и предназначенная для хранения кодов позиций клавиш и состояний ключей датчиков;

ОЗУ отображения (RAM), сохраняющее информацию, отображаемую на дисплее;

регистр адреса ОЗУ отображения (RGA), предназначенный для хранения адреса данных, записываемых или считываемых микропроцессором;

схема управления и синхронизации (CU), состоящая из регистров хранения команд и счетчика синхронизации и осуществляющая управление работой всей микросхемы;

схема анализа состояния ОЗУ-датчиков (STCU), контролирующая число символов в ОЗУ и формирующая сигнал прерывания INT;

регистры ОЗУ отображения (RG), предназначенные для хранения данных, отображаемых на выходах каналов A и B;

счетчик сканирования (CT), вырабатывающий сигналы сканирования клавиатуры, датчиков и дисплея.

Назначение входных, выходных и управляющих сигналов:

RET (7-0) – входы линии возврата, служащие для подачи сигналов от датчиков через контакты клавиш или ключа.

SH – вход сигнала сдвига, используемого для сканирования клавиатуры.

- вход сигнала управления для режима сканирования клавиатуры или стробирующего сигнала для режима ввода по стробу.

R – вход сигнала чтения L-уровня, разрешающего передачу информацию из ПККИ в канал данных МП.

W – вход сигнала запись L-уровня, разрешающего передачу информации из канала данных МП в ПККИ.

- вход для управления записью/чтением данных или команд; при сигнале H-уровня происходит запись команды или чтения состояния ПККИ, при сигнале L-уровня – запись или чтение данных.

CS – вход сигнала выбора микросхемы; L-уровень сигнала разрешает работу схемы.

CLK – вход сигнала синхронизации микросхемы.

CLR – вход сигнала установки ПККИ в исходное состояние.

D(7-0) – входы/выходы канала данных.

DSPA(3-0) – выходы канала A.

DSPB(3-0) – выходы канала B.

BD – выход сигнала гашения отображения L-уровня.

S(3-0) – выходы сигналов сканирования клавиш клавиатуры или набора датчиков и дисплея.

INT – выход сигнала прерывания.

На рисунке 6.9 показано включение микросхемы в микропроцессорную систему, управляющую работой клавиатуры и дисплея.

Н
аправление и вид операции обмена между микропроцессором и ПККИ представлены в таблице 6.2.

Клавиатурная часть ПККИ имеет три режима работы: режим сканирования клавиатуры, режим определения состояния набора датчиков и режим ввода по стробу.

В режиме сканирования клавиатуры байт информации, вводимой в STACK, содержит код позиции нажатой клавиши и два бита сигналов управления. Разряду D7 соответствует состояние сигнала , а разряду D6 – сигнала SH. Разряды D3-D5 определяют номер строки нажатой клавиши, разряды D0-D2 – номер столбца. После записи кода позиции клавиши в STACK на выходе INT устанавливается напряжение H-уровня. В этом режиме с помощью схемы управления и устранения дребезга клавиатуры осуществляется также отработка ситуаций, возникающих при одновременном нажатии клавиш.

Режим сканирования клавиатуры может выполняться с обнаружением двух нажатых клавиш. В этой разновидности режима вводится код только одной нажатой клавиши. Одновременное нажатие двух клавиш не воспринимается до тех пор, пока одна из них не будет отпущена. Другая разновидность режима разрешает одновременное нажатие N клавиш (не более 8), распознает их и вводит коды позиции в STACK в соответствии с порядком обнаружения. В последнем варианте с помощью специальной команды можно задать режим обнаружения ошибок, в котором наличие двух нажатых клавиш рассматривается как одновременное нажатие и вызывает установку в слове состояния ПККИ флага ошибки.

В режиме определения состояния набора датчиков по входам RET(7-0) производится построчный ввод в STACK состояния ключей датчиков. При этом STACK работает, как обычное ОЗУ, каждая ячейка которого загружается состоянием соответствующей строки набора датчиков. В этом режиме не используются входы и SH и схема устранения дребезга клавиатуры. При обнаружении изменения состояний датчиков на выходе INT в конце интервала сканирования устанавливается, как правило, напряжение H-уровня.

В режиме ввода по стробу ввод в STACK со входов RET(7-0) происходит при переходе стробирующего сигнала на входе из состояния L-уровня в состояние H-уровня. Вход SH и схема устранения дребезга клавиатуры в этом режиме не используются, а длительность стробирующего сигнала должна быть не меньше периода частоты синхронизации микросхемы.

Дисплейная часть ПККИ имеет два режима работы: режим ввода слева и режим ввода справа со сдвигом.

В режиме ввода слева каждой позиции дисплея соответствует определенная строка в ОЗУ отображения (RAM). Нулевому адресу в RAM соответствует крайний левый символ. Ввод символов, начиная с нулевого адреса, вызывает построчное отображение информации слева направо. Ввод справа со сдвигом используется в электронных калькуляторах. Здесь ввод первого символа производится в крайнюю правую позицию дисплея. Следующий ввод также производится в крайнюю правую позицию, а все отображение сдвигается на один символ влево. В этом режиме нет прямого соответствия между позицией отображаемого символа и адресом строки RAM, поэтому лучше использовать последовательный ввод, начиная с нулевого адреса.

Информация на выходах DSPA(3-0) канала A соответствует разрядам D7-D4 канала данных, а на выходах DSPB(3-0) – разряда D3-D0.

Сигналы сканированные на выходах S(3-0) вырабатываются счетчиком сканирования (CT), обеспечивающим два вида сигналов сканирования: кодированные сигналы и дешифрированные. Кодированные сигналы сканирования являются выходами четырех последних разрядов счетчика синхронизации и должны дешифрироваться внешним дешифратором для получения сигналов сканирования клавиатуры и индикаторов.

Режимы работы ПККИ устанавливаются программно с помощью записи в него команд по каналу данных. Перед программированием режима микросхема должна быть установлена в исходное состояние подачей на вход CLR напряжения H – уровня длительностью не менее 6 тактовых импульсов. Команды ПККИ занимают один байт и код операции определяется тремя старшими разрядами D7-D5.

Для контроля состояния ПККИ микропроцессор может считывать слово состояния БИС из внутреннего 8-разрядного регистра слова состояния.

Разряды D3-D0 слова состояния определяют число символов в ОЗУ клавиатуры и датчиков. Разряды D4 и D5 представляют флаги ошибок и используются в клавиатурном режиме и режиме ввода по стробу. Разряд D4 устанавливается при чтении символа из пустого ОЗУ, а разряд D6 – при записи в заполненное ОЗУ. Разряд D6 в режиме набора датчиков устанавливается в том случае, если происходит замыкание хотя бы одного ключа датчика. В режиме сканирования клавиатуры при записи команды «Сброс прерывания, установка режима обнаружения ошибок» разряд D6 выполняет функцию флага ошибки. Он устанавливается при обнаружении одновременного нажатия нескольких клавиш. Разряд D7 слова состояния информирует о прекращении доступа к ОЗУ отображения.

6.5 Блок индикации и клавиатуры

Блок индикации и клавиатуры является средством общения пользователя с микроЭВМ и разделяется соответственно на две части: индикатор и клавиатуру.

И
ндикатор представляет собой набор восьмиразрядных светодиодных индикаторов типа АЛС 334, которые содержат в себе восемь светодиодов, соединенных, как показано на рисунке 6.10.

Блок клавиатуры включает в себя 16 клавиш типа ПКМ 1Б со следующими обозначениями: «1», «2», «3», «4», «5», «6», «7», «8», «9», «0», «ПУСК», «МЕНЮ», «ОК», «▼», «▲», «•».

Клавиши и индикаторы впаяны в плату блока индикации и клавиатуры, которая соединена с микропроцессорным блоком соединительным 26-проводным шлейфом.

Лист




7 Расчет источника питания

Источник питания (ИП) является важнейшей составной частью любой радиоэлектронной аппаратуры. ИП предназначен для питания устройств электроэнергией постоянного и переменного токов. Тип, параметры и количество напряжений ИП определяются, как правило, электрической схемой самого радиоэлектронного устройства, а именно, количеством и типами питающих напряжений и суммарным током потребления по каждому напряжению. Расчет производится согласно методике, указанной в [6].

В разрабатываемом микропроцессорном блоке необходимо использование трех питающих напряжений постоянного тока: +5, -5 и +12 вольт, следовательно, источник питания будет состоять из следующих основных узлов: трансформатор, три независимых выпрямителя, фильтра и стабилизатора.

7.1 Расчет требуемой мощности ИП.

Р
асчёт суммарного тока потребления по каждому из напряжений сведём в таблицу 7.1.

7.2 Выбор схемы источника питания

В
ыбор схемы источника питания производится с учетом параметров питающей сети, выходной мощности по каждому напряжению, а так же характеру нагрузки (активной и реактивной составляющих) В нашем случае можно воспользоваться общей схемой с применением стабилизаторов в интегральном исполнении, приведенной на рисунке 7.1, которая отличается хорошими надежностными характеристиками.

Рекомендуемое выходное напряжение E0 II при колебаниях сети ±10% и токе I0 II =0,3 А составляет 18 В, E0 III при токе 0,5 А - 9 В и E0 IV при токе 0,1 А – 9 В.

Выпрямленная мощность трансформатора:

(7.1)

Вт


7.3 Расчет источника +12В

Выпрямленное сопротивление кремниевого диодного моста при работе на нагрузку с ёмкостным характером:

(7.2)

где: =1 В – падение напряжения на кремниевом диоде;

- выпрямленный ток для мостовой схемы.

(7.3)

где: =0,3 А при использовании ИМС КР142ЕН8Б.



Сопротивление обмотки II трансформатора:

(7.4)

где: - коэффициент, зависящий от схемы выпрямителя (для мостовой схемы =4,7);

s – число стержней трансформатора, несущих обмотки (для Ш-образного сердечника s=1);

B – магнитная индукция в сердечнике трансформатора (при выпрямляемой мощности ≤ 50 Вт B=1,3 Тл;

f – частота питающей сети, f=50 Гц;


Так как трансформатор имеет ещё две обмотки, необходимо определить сопротивление обмотки II с учетом дополнительных обмоток:

(7.5)

где: - вольт-амперы вторичных обмоток для рассчитываемого выпрямителя (для мостовой схемы =1,5 P0=1,5∙10,8=16,2

- габаритная мощность трансформатора. Для мостовой

схемы выпрямителя =1,5 P0=1,5∙10,8=16,2 Вт.


Активное сопротивление фазы выпрямителя r определяют по формуле:

(7.6)


Индуктивность рассеяния обмотки трансформатора LS II :


(7.7)

где: – коэффициент, зависящий от схемы выпрямителя (для мостовой схемы ;

p – число чередующихся секций обмоток; когда вторичная обмотка наматывается после первичной p=2.


Необходимо также учесть влияние дополнительных обмоток:


(7.8)


Основной расчетный коэффициент:


(7.9)

где m – число фаз выпрямителя (для мостовой схемы m=2).


Находим угол, характеризующий соотношение между индуктивным и активным сопротивлениями фазы выпрямителя:


(7.10)


По графикам из [6] находим вспомогательные коэффициенты:

=1,06;

=2,02;

=5,5;

=22000.

Определяем э.д.с. вторичной обмотки трансформатора:

(7.11)


Определяем обратное напряжение на диодах:

(7.12)

Определяем действующее значение тока вторичной обмотки:


(7.13)


Ток через диоды:

(7.14)


Определяем импульс тока через диод:

(7.15)


Выбираем диодный мост КЦ405Е со следующими параметрами:

Выходная емкость выпрямителя:


(7.16)

где: - коэффициент, рассчитываемый по формуле:


(7.17)

где: =1 В; =18 В;



Принимаем емкость = 1000 мкф.

Рабочее напряжение конденсатора:

(7.18)

По справочнику выбираем конденсатор К50-6-1000 мкф50В.

Коэффициент трансформации:


(7.19)

где: =220 – напряжение первичной обмотки.


7.4 Расчет источника +5В

Для стабилизации напряжения +5В используем стабилизатор в интегральном исполнении КР142ЕН5В. При использовании данной ИМС рекомендуемое входное напряжение составляет 9 вольт. Таким образом, получим исходные данные для расчета:

Внутреннее сопротивление кремниевых диодов моста при работе на нагрузку с емкостным характером сопротивления:

(7.20)

где: =1 В – падение напряжения на кремниевом диоде;

- выпрямленный ток для мостовой схемы.

(7.21)

где: =0,5 А при использовании ИМС КР142ЕН5В.



Сопротивление обмотки III трансформатора:


(7.22)

где: - коэффициент, зависящий от схемы выпрямителя (для мостовой схемы =3,5);

s – число стержней трансформатора, несущих обмотки (для Ш-образного сердечника s=1);

B – магнитная индукция в сердечнике трансформатора (при выпрямляемой мощности ≤ 50 Вт B=1,3 Тл;

f – частота питающей сети, f=50 Гц;


Необходимо определить сопротивление обмотки III с учетом дополнительных обмоток:


(7.23)

где: - вольт-амперы вторичных обмоток для рассчитываемого выпрямителя (для мостовой схемы =1,5 P0=1,5∙10,8=16,2

- габаритная мощность трансформатора. Для мостовой

схемы выпрямителя =1,5 P0=1,5∙10,8=16,2 Вт.


Активное сопротивление фазы выпрямителя r определяют по формуле:

(7.24)


Индуктивность рассеяния обмотки трансформатора LS III :


(7.25)

где: – коэффициент, зависящий от схемы выпрямителя (для мостовой схемы ;

p – число чередующихся секций обмоток; когда вторичная обмотка наматывается после первичной p=2.


Необходимо также учесть влияние других вторичных обмоток:


(7.26)


Основной расчетный коэффициент:


(7.27)

где m – число фаз выпрямителя (для мостовой схемы m=2).


Находим угол, характеризующий соотношение между индуктивным и активным сопротивлениями фазы выпрямителя:


(7.28)


По графикам из [6] находим вспомогательные коэффициенты:

=1,13;

=2,05;

=5,3;

=25500.

Определяем э.д.с. вторичной обмотки трансформатора:

(7.29)


Определяем обратное напряжение на диодах:

(7.30)

Определяем действующее значение тока вторичной обмотки:

(7.31)


Ток через диоды:

(7.32)


Определяем импульс тока через диод:

(7.33)


Выбираем диодный мост КЦ410А со следующими параметрами:

Выходная емкость выпрямителя:


(7.34)

где: - коэффициент, рассчитываемый по формуле:


(7.35)

где: =0,7 В; =9 В;



Принимаем емкость = 2000 мкф.

Рабочее напряжение конденсатора:

(7.36)

По справочнику выбираем конденсатор К50-6-2000 мкф16В.

Коэффициент трансформации:


(7.37)

где: =220 – напряжение первичной обмотки.


7.5 Расчет источника -5В

Для стабилизации напряжения -5В используем стабилизатор в интегральном исполнении КР142ЕН5В. При использовании данной ИМС рекомендуемое входное напряжение составляет 9 вольт. Таким образом, получим исходные данные для расчета:

Внутреннее сопротивление кремниевых диодов моста при работе на нагрузку с емкостным характером сопротивления:

(7.38)

где: =1 В – падение напряжения на кремниевом диоде;

- выпрямленный ток для мостовой схемы.

(7.39)

где: =0,1 А при использовании ИМС КР142ЕН5В.



Сопротивление обмотки III трансформатора:

(7.40)

где: - коэффициент, зависящий от схемы выпрямителя (для мостовой схемы =3,5);

s – число стержней трансформатора, несущих обмотки (для Ш-образного сердечника s=1);

B – магнитная индукция в сердечнике трансформатора (при выпрямляемой мощности ≤ 50 Вт B=1,3 Тл;

f – частота питающей сети, f=50 Гц;


Необходимо определить сопротивление обмотки III с учетом дополнительных обмоток:

(7.41)

где: - вольт-амперы вторичных обмоток для рассчитываемого выпрямителя (для мостовой схемы =1,5 P0=1,5∙10,8=16,2

- габаритная мощность трансформатора. Для мостовой

схемы выпрямителя =1,5 P0=1,5∙10,8=16,2 Вт.


Активное сопротивление фазы выпрямителя r определяют по формуле:

(7.42)


Индуктивность рассеяния обмотки трансформатора LS IV :


(7.43)

где: – коэффициент, зависящий от схемы выпрямителя (для мостовой схемы ;

p – число чередующихся секций обмоток; когда вторичная обмотка наматывается после первичной p=2.


Необходимо также учесть влияние других вторичных обмоток:


(7.44)


Основной расчетный коэффициент:


(7.45)

где m – число фаз выпрямителя (для мостовой схемы m=2).


Находим угол, характеризующий соотношение между индуктивным и активным сопротивлениями фазы выпрямителя:


(7.46)


По графикам из [6] находим вспомогательные коэффициенты:

=1,11;

=2,03;

=5,3;

=25000.

Определяем э.д.с. вторичной обмотки трансформатора:

(7.47)


Определяем обратное напряжение на диодах:

(7.48)

Определяем действующее значение тока вторичной обмотки:

(7.49)


Ток через диоды:


(7.50)


Определяем импульс тока через диод:


(7.51)


Выбираем диодный мост КЦ405E со следующими параметрами:

Выходная емкость выпрямителя:


(7.52)

где: - коэффициент, рассчитываемый по формуле:


(7.53)

где: =0,7 В; =9 В;



Принимаем емкость = 400 мкф.

Рабочее напряжение конденсатора:

(7.54)

По справочнику выбираем конденсатор К50-6-400 мкф16В.

Коэффициент трансформации:


(7.55)

где: =220 – напряжение первичной обмотки.


7.6 Расчет трансформатора

Исходные данные для расчета.

Действующие напряжения обмоток:

Действующие токи в обмотках:


Коэффициенты трансформации:

Частота сети 50 Гц.

Габаритная мощность трансформатора:

(7.56)


Составляющие тока первичной обмотки, вызванные токами вторичных обмоток, нагруженных на выпрямители:

(7.57)

(7.58)

(7.59)




Ток первичной обмотки равен сумме токов вызванных всеми вторичными обмотками:

(7.60)


Ток первичной обмотки с учетом потерь в трансформаторе:

(7.61)

где: - к.п.д. трансформатора, =0,85 таблица 17-8 [6];


Определим геометрические размеры окна сердечника (рисунок 7.2):

(7.62)

где: - полное сечение стержня, несущего обмотки, ;

- площадь окна сердечника, приходящегося на обмотки одного стержня, ;

B - максимальная индукция в сердечнике, Тл;

- плотность тока в обмотке, ;

- к.п.д. трансформатора;

s - число стержней трансформатора, несущих обмотки;

- коэффициент заполнения сечения стержня сталью;

- коэффициент заполнения окна медью обмотки;

В соответствии с рисунком.17-25 [6]

Из таблицы 17-8 [6] при , частоте 50 Гц, марки стали Э350 находим:

Подставляя данные значения в формулу (78), получим:



Ориентировочное значение ширины стержня:

(7.63)


По справочнику [6] выберем витой сердечник броневого типа Ш16.

Определим ширину ленты витого сердечника:

(7.64)

где b=1,6 см; h=4см – значения выбираются по таблице 17-9 [6]


Выбираем C=1,6 см.

Таким образом, габариты сердечника будут:

Ширина

Высота

Толщина

Масса

7.7 Расчет обмоток трансформатора

Определим э.д.с. одного витка обмотки:

(7.65)

по таблице 17-8 [6]


Ориентировочное значение падения напряжения в обмотках:

(7.66)

где: (по таблице 17-8 [6] );


Число витков обмотки I равно:

(7.67)


Принимаем витков.

Для обмотки II :


Число витков обмотки II равно:


Принимаем витков.

Для обмотки III :


Число витков обмотки III равно:


Принимаем витка.

Для обмотки IV :


Число витков обмотки IV равно:


Принимаем виток.

Диаметр проводов обмоток (без изоляции):

(7.68)

где: - плотность тока, зададимся в пределах

Для обмотки I ( ) :


Принимаем

Для обмотки II ( ) :


Принимаем

Для обмотки III ( ) :


Принимаем

Для обмотки IV ( ) :


Принимаем

Для уточнения падения напряжения в обмотках, определяют среднюю длину витка обмоток:

(7.69)

где:


Длина обмоток:

(7.70)





Точное значение падения напряжения:

(7.71)





Уточняем число витков в обмотках:

(7.72)


Принимаем витков;


Принимаем витков;


Принимаем витков;


Принимаем витков;

Ток намагничивания трансформатора

(7.73)

где: - напряженность магнитного поля, согласно кривой на рисунке 17-26 [6] для трансформаторного железа Э350;

Определим среднюю длину магнитной линии для сердечников броневого типа:

(7.74)



Вес сердечника:

(7.75)

где: - удельный вес стали Э350;


Потери в сердечнике:

(7.76)

где: в соответствии с рисунком 17-27 [6];


Ток холостого хода:

(7.77)


Ток первичной обмотки с учетом потерь:

(7.78)


Потери в меди:

(7.79)

где:

(7.80)







Лист



8 Описание конструкции микропроцессорного блока

Конструкция микропроцессорного блока представляет из себя шасси с размещенными на нем внутренними узлами и блоками прибора. Сверху на шасси устанавливается защитный кожух. Шасси изготавливается из стального листа методом штамповки и условно делится на три составляющие части: днище, задняя стенка и передняя фальш-панель.

На днище шасси крепится узел микропроцессора и блок питания. По бокам днище имеет бортики для крепления защитного кожуха. На задней стенке размещены клемма заземления, разъем для подключения сетевого питающего шнура, гнездо для плавкого предохранителя и 9-контактный разъем для подключения внешних устройств к порту RS232. Кроме того, задняя стенка имеет вентиляционные отверстия, для обеспечения воздушного охлаждения прибора. С внутренней стороны вентиляционные отверстия закрыты защитной тканью, во избежание попадания вовнутрь прибора пыли, и прочих вредных примесей, содержащихся в воздухе в условиях машиностроительного производства. Передняя фальш-панель служит для крепления на ней разъема входного сигнала, сетевого выключателя и блока индикации и клавиатуры и закрывается снаружи лицевой панелью, имеющей соответствующие надписи и маркировки. Защитное стекло индикатора может быть зеленого или темно-оранжевого цвета и крепится на лицевой панели с внутренней стороны. Лицевая панель крепится на фальш-панели при помощи четырех винтов. Сверху на шасси надевается защитный кожух, изготовленный из листового алюминия методом штамповки. Кожух крепится на шасси четырьмя винтами.

Все блоки прибора соединены между собой проводниками с разъемами, для облегчения настройки и ремонта.

Узел микропроцессора выполнен на одной двусторонней печатной плате и содержит в себе микроЭВМ, АЦП и входную цепь. Плата узла микропроцессора крепится к шасси четырьмя винтами, которые вкручиваются во втулки, закрепленные на днище развальцовкой. Таким же образом закреплены блок питания и блок индикации и клавиатуры.

Блок питания выполнен на несущей металлической плате, на которой закреплен трансформатор и плата выпрямителя и стабилизатора. На плате выпрямителя и стабилизатора установлена розетка, для подключения нагрузки.

Блок индикации и клавиатуры представляет из себя набор из 8 знаковых индикаторов и 16 клавиш, установленных на одной печатной плате. Так как в результате нажатия на клавиши плата будет испытывать определенную нагрузку, ее толщина выбрана равной 2 мм, что обеспечивает надежную работу паяных соединений клавиш и индикаторов.

3




9 Описание работы микропроцессорного блока

9.1 Схема применения блока микропроцессорного

Р
азрабатываемый микропроцессорный блок предназначен для применения в комплекте с измерительным преобразователем (ИП) линейных перемещений трансформаторного типа. Измерительный преобразователь должен быть закреплен на измерительной позиции металлорежущего станка и приведен в соприкосновение с обрабатываемой деталью в процессе её обработки. Датчик имеет три обмотки: обмотка возбуждения и две измерительные обмотки, которые включены по дифференциальной схеме, как показано на рисунке 9.1.

9.2 Работа измерительной системы

Отклонение формы детали преобразуется в перемещение штока датчика, на котором жестко закреплен ферритовый якорь трансформатора.

Сигнал с генератора поступает на обмотку возбуждения датчика, где через ферритовый сердечник наводится в измерительных обмотках. С измерительных обмоток сигналы поступают на амплитудные детекторы, где преобразуются в постоянное напряжение. С детекторов постоянные напряжения поступают на соответствующие входы дифференциального усилителя, где усиливается их разность.

Когда шток датчика и соответственно ферритовый якорь находятся в центральном (нулевом) положении, э.д.с., наводимые в измерительных обмотках имеют одинаковую амплитуду, а следовательно и напряжения на входах дифференциального усилителя будут одинаковыми и на выходе дифференциального усилителя напряжение будет равно нулю (не принимая во внимание дрейф нуля усилителя). Как только шток переместится в положение, отличное от нуля, э.д.с. в измерительных обмотках изменятся, причем в одной обмотке э.д.с. увеличится, а в другой - уменьшится, что приведет к появлению разностного сигнала на входе дифференциального усилителя. Этот разностный сигнал будет усилен и подан на вход микропроцессорного блока, где происходит дальнейшая обработка сигнала.

Такая схема включения датчика позволяет избавиться от поперечных помех наводимых в проводах, соединяющих датчик с детекторами, что существенно влияет на точность измерения. Поперечные помехи будут наводиться одновременно по двум измерительным линиям и на входе дифференциального усилителя будут взаимно вычитаться.

9.3 Обработка сигналов в микропроцессорном блоке.

На вход микропроцессорного блока измерительный сигнал должен поступать в калиброванном виде. От точности параметров входного сигнала зависит точность дальнейших преобразований. Измерительный сигнал подается на входную цепь микропроцессорного блока, которая построена на операционном усилителе К140УД6 с возможностью регулировки коэффициента усиления. Это сделано для того, чтобы была возможность дополнительной регулировки уровня "0", в случае необходимости. С операционного усилителя сигнал поступает на аналого-цифровой преобразователь (АЦП), построенный на интегральной микросхеме К572ПВ3, где происходит преобразование аналогового сигнала в восьмиразрядный двоичный код.

Восьмиразрядный код с АЦП подается на один из портов программируемого параллельного адаптера (ППА) КР580ВВ55. Этот адаптер позволяет по команде микропроцессора передавать данные из АЦП на шину данных, для их дальнейшей обработки. Дальнейшая обработка данных производится в соответствии с программой, обслуживающей процесс измерения. Основной задачей этой программы является опрашивание АЦП с заданной периодичностью и вывод результатов измерения на дисплей. Кроме того, микроЭВМ позволяет записывать данные о результатах измерений в оперативную память для их дальнейшей статистической обработки.

Программное обеспечение микропроцессорного блока записано в ПЗУ, а его возможности могут быть различными, в зависимости от конкретных требований заказчика. Таким образом, обеспечивается функциональная гибкость применения разрабатываемого микропроцессорного блока.

Микропроцессорный блок может применяться в составе автоматизированных измерительных систем. Для этого он имеет интерфейс RS-232, реализованный с помощью ППА.

Для выдачи данных на дисплей и считывания данных с клавиатуры используется контроллер клавиатуры и индикатора КР580ВВ79, который очень удобен в применении и имеет широкие функциональные возможности.

Лист




ВВЕДЕНИЕ

Измерительная техника является объектом широкого и эффективного внедрения микропроцессорной техники. Использование встроенных в измерительную аппаратуру микропроцессорных устройств (МПУ) и микроЭВМ позволило существенно улучшить характеристики приборов (точность, надежность, экономичность и др.). Появилась возможность осуществления автоматизации статистической обработки результатов измерений, разрабатываются и выпускаются «интеллектуальные» приборы – полностью автоматизированные, радикально повышающие производительность труда и позволяющие решать совершенно новые задачи.

Применение микропроцессоров позволяет расширить измерительные возможности приборов за счет использования косвенных и совокупных измерений.

В измерительных приборах очевидны следующие функции МПУ:

Упрощение управления прибором за счет уменьшения числа органов управления на панели прибора.

Возможность проведения различных математических преобразований над результатами измерений.

Получение статистических характеристик измеряемых величин (математическое ожидание, дисперсия, коэффициент корреляции взаимозависимых случайных переменных и др.)

Миниатюризация и экономичность аппаратуры за счет уменьшения числа компонентов в схеме прибора.

Повышение надежности прибора.

Сокращение сроков разработки за счет использования типовых структурных решений и типовых прикладных программ.

При проектировании микропроцессорных средств измерений (СИ) возможно подключение измерительного прибора к стандартной интерфейсной шине. Это позволяет осуществлять дистанционное управление измерительными приборами, организовать их в информационно-измерительную систему, которую в процессе проектирования и эксплуатации можно наращивать дополнительно новыми функциональными блоками и при необходимости заменять в ней одни блоки другими.

Основные приборные функции следующие. Первая функция, определяемая назначением прибора – формирование сигнала измерительной информации. Она включает все слагаемые измерительной процедуры, выполняемой прибором: масштабные преобразования исследуемого сигнала к виду, удобному для сравнения; операцию сравнения с единицей; фиксацию результата сравнения; отображения результата измерения, его запоминание и статистическую обработку и т.п.

Вторая приборная функция – программное управление прибором, заключающееся в выполнении программы, хранимой в ПЗУ и частично в ОЗУ микроЭВМ или МПУ.

Лист




10 Расчет надежности

Надежность – свойство объекта сохранять во времени в установленных пределах значения всех параметров, характеризующих способность выполнять требуемые функции в заданных режимах применения, технического обслуживания, ремонта, хранения и транспортирования.

Надежность аппаратуры зависит от многих факторов, воздействие которых носит случайный характер, поэтому статистический аппарат теории надежности основан на теории вероятности, а оценка показателей надежности производится статистическим методом обработки результатов большого числа испытаний.

Данное устройство содержит большое количество элементов и соединений, которые потенциально могут оказаться причиной отказа всего устройства в целом. Поэтому необходимо рассчитать надежность устройства, учитывая все эти элементы. Для удобства расчетов все эти элементы сведены в табл. 2.9.

Интенсивность отказов всей системы вычисляется по формуле:

(10.1)

где: - поправочный коэффициент, выбираемый по таблицам и зависящий от температуры и коэффициента нагрузки ;

- число элементов в группе;

- интенсивность отказов (реальная, с учетом условий эксплуатации);

- количество однотипных элементов.

(10.2)

где: - коэффициент, учитывающий условия эксплуатации; - интенсивность отказов элемента.

В
ремя работы блока до 1-го отказа:

(10.3)

где: - Интенсивность отказов (реальная) блока;


Вероятность безотказной работы (при наработке на отказ t=600 часов):

(10.4)


Таким образом, полученное значение наработки на отказ 34818 часов вполне допустимо. Если учесть, что год содержит 8760 часов, этот модуль должен безотказно работать более 3,9 лет.


Лист




11 Экономическое обоснование проекта

11.1 Научно-технический продукт и его характеристика

Разрабатываемый прибор предназначен для обеспечения проведения измерений отклонений формы детали цилиндрической формы от заданных размеров в процессе изготовления. Так же допускается использование прибора на этапе технического контроля изготовленных деталей. Износ режущих и обрабатывающих инструментов влияет на качество деталей, кроме того, сильное влияние оказывают силовые и тепловые деформации, вибрации, точность настройки. Для снижения брака изготавливаемой продукции рядом со станком размещают средства контроля. Проектируемый прибор имеет небольшие габаритные размеры по сравнению с существующими аналогами, имеет высокое быстродействие и позволяет производить различные виды измерений в различных режимах, в зависимости от конкретных требований заказчика и варианта исполнения прибора. Операции контроля выполняются автоматически, по программе, записанной в ПЗУ. Прибор прост в обращении и занимает мало места. Расположение прибора на рабочем месте ведет к тому, что в случае обнаружения брака, обрабатывающий станок сразу же перенастраивается или заменяется оснастка, тем самым исключается дальнейший брак.

Прибор выполняется в едином корпусе и работает в составе с датчиком, установленным в измерительной позиции. Кроме того, имеется возможность подключения внешних устройств, для автоматизации процесса измерения.

Предприятие-изготовитель гарантирует соответствие устройства требованиям технических условий, при соблюдении потребителем условий эксплуатации, транспортирования и хранения. Гарантийный срок устанавливается 12 месяцев со дня ввода прибора в эксплуатацию.

11.2 Источники эффективности технического решения

Для экономического обоснования технического предложения необходимо выделить источники его эффективности, т.е. за счет каких конструктивных усовершенствований или используемых новых технических решений достигается долее высокий технический уровень разрабатываемого прибора, определяющих рост экономических показателей. Источники эффективности выражаются, как разница между базовыми и проектируемыми основными потребительскими показателями.

Источники эффективности прибора:

Производительность прибора, шт/час
800
Масса прибора, кг
2,0

П
отребляемая мощность, Вт, не более


10

11.3 Уровни исполнения научно-технического продукта

Виды информации

1 Основные требования рынка (э);

2 Специфическое требование кафедры (э);

3 Отличающееся новое техническое решение (т);

4 Определенная новая техническая информация (т);

5 Обычно доступные технические данные (т);

6 Требования производства (т);

7 Требования к разработчику о разработке продукта (э);

8 Требования понизить цену (э);

9 Требования понизить стоимость производства (э);

10 Требования к разработчику разрабатывать новые машины (э);

11 Узнавание самим проектантом новых технических возможностей (т);

12 Узнавание самим автором новых экономических возможностей (э).

Примечание: э – экономическая информация;

т – техническая информация.

11.4 Маркетинговые исследования

Маркетинг – это такая система организации работы предприятия, при которой научно-технические и производственные решения принимаются на основе изучений требований рынка, исходя из прогноза и управления научно-техническими достижениями.

Маркетинг – это деятельность в сфере рынка сбыта. Главное в сфере деятельности маркетинга – это тщательное изучение рынка спроса, потребителей. Ориентация НОКр и производства на эти требования, а также активное воздействие на рынок и спрос, на формирование потребностей с помощью рекламы.

Подчинение предприятия рыночным требованиям – вот в чем суть маркетинга. Наряду с исследованиями, разработкой новой техники и ее производством, предприятие активно формирует спрос. Задача маркетинг – не только увеличивать спрос, но и попытаться воздействовать на него так, чтобы от соответствовал предложению – это предвиденье управления и удовлетворения спроса на новую технику.

Исследования показали, что на протяжении нескольких лет, не производились нововведения в сфере средств операционного и послеоперационного контроля. При обработке деталей осуществлялся посредством измерения силовых и тепловых деформаций. Для большей точности необходимо использовать дополнительные средства контроля. Аналоги разрабатываемого прибора имеют большие габариты, низкое быстродействие и производительность.

Р
азрабатываемый прибор имеет высокие показатели качества, полностью автоматизирован, работа человека значительно облегчается.

11.5 Расчет эффективности разрабатываемого прибора

11.5.1 Определение объема производства новой техники

Главная задача раздела – показать своим потенциальным потребителям, что предприятие будет в состоянии реально производить потребное количество новой техники в нужные сроки и с требуемым качеством. Предпринимателю здесь необходимо доказать, что он действительно может организовать эффективное производство.

11.5.2 Определение величины капиталовложений и цен на новую технику

Замена действующих объектов техники на новые или их модернизацию, а так же внедрение в производство средств механизации и автоматизации требует вложений капитала (инвестиций). Величина капиталовложений формируется предприятием, использующим новые виды машин и технологии.

Процесс создания новой техники – это комплекс научных, конструкторских, технологических, экономических работ, выполняемых в определенной последовательности и взаимосвязи в рамках относительно замкнутых и специфических по характеру труда, а так же достигаемых результатов на определенной стадии. В зависимости от характера вложения капитала можно выделить несколько методов расчета капиталовложений.

Рассмотрим метод расчета в зависимости от создания проекта на новую технику или технологию собственными силами предприятия, согласно которому:

(11.1)

где: - затраты на создание проекта;

- затраты на изготовление опытного образца;

- затраты на монтаж единицы новой техники;

S – количество физических единиц новой техники, необходимых для действующего предприятия.

Определение затрат на создание проекта ( ).

Затраты на создание проекта включают затраты на выполнение проектных работ, а так же затраты на изготовление опытного образца.

(11.2)

С
татья 1 «Основные материалы».

В стоимость материалов включаются затраты на приобретение комплектующих изделий, полуфабрикатов для подготовки моделей (макетов), материалы на чертежные работы, расходы на бумагу, канцелярские принадлежности.

Таблица 11.3 - Основные материалы



Наименование Кол-во Цена за ед. измерения, руб Общая сумма, руб

1 2 3 4
1 Микропроцессор КР580ВМ80 1 15,00 15,00
2 АЦП К572ПВ3 1 7,50 7,50
3 ОЗУ К537РУ10 1 10,00 10,00
4 ПЗУ К573РФ2 1 8,00 8,00
5 Операционный усилитель К140УД6 1 5,00 5,00
6 ГТИ КР580ГФ24 1 7,00 7,00
7 Системный контроллер КР580ВК28 1 7,40 7,40
8 Параллельный интерфейс КР580ВВ55 1 10,50 10,50
9 Контроллер дисплея и клавиатуры 1 8,50 8,50
10 Дешифратор К555ИД4 3 2,50 7,50
11 Трансформатор 1 25,00 25,00
12 Диодный мост 3 5,50 16,50
13 Стабилизатор напряжения 3 7,50 22,50
14 Конденсатор электролитический 3 2,00 6,00
15 Конденсатор КМ 1 1,50 1,50
16 Резистор МЛТ 15 0,40 6,00
17 Резистор подстроечный 2 0,65 1,30
18 Предохранитель 1 0,25 0,25

Продолжение таблицы 11.3


1 2 3 4
19 Переключатель П2К 1 1,20 1,20
20 Переключатель ПКМ 1Б 16 1,45 23,20
21 Индикатор светодиодный 8 3,50 28,00
22 Разъем соединительный 40 - конт. 1 5,60 5,60
23 Разъем соединительный 32 - конт. 1 5,00 5,00
24 Разъем соединительный 4 - конт. 1 2,20 2,20
25 Разъем РПГ 1 0,90 0,90
26 Разъем 9 – конт 1 1,60 1,60
27 Плата печатная микропроцессорного блока 1 20,00 20,00
28 Плата печатная блока питания 1 12,00 12,00
29 Плата печатная блока индикации 1 10,00 10,00
30 Резонатор кварцевый 1 5,60 5,60
31 Провода соединительные, м 12 0,75 9,00
32 Вилка сетевая 1 3,40 3,40
33 Гнездо предохранителя 1 1,40 1,40
Итого


294,55

Статья 2 «Основная зарплата инженерно-технического персонала»

Основную зарплату инженерно-технического персонала можно определить одним из двух методов:

на основе численности персонала;

по трудоемкости проектируемых работ;

Расчет на основе численности:

(11.3)

где: - дневная ставка по оплате персонала;

- численность ИТР;

- количество дней для проектирования;


Статья 3 «Дополнительная зарплата ИТР».

Дополнительная зарплата определяется в % от основной зарплаты и включает в себя:

Оплату отпусков, премий и др.

(11.4)

где: a=15% - процент дополнительной зарплаты;


Статья 4 «Отчисления на соц. страхование»:

(11.5)

где: - процент отчислений;


Статья 5 «Накладные расходы».

В научных и проектных организациях накладные расходы можно принять в размере от 60% до 100% к основной зарплате ИТР.

(11.6)

Затраты на изготовление опытного образца

Таблица 11.4 - Затраты на изготовление опытного образца


Статьи расходов Сумма, руб.
1 Основные и вспомогательные материалы 40,00
2 Покупные комплектующие изделия 294,55
3 Основная зарплата производственных рабочих 240,00
4 Дополнительная зарплата производственных рабочих 72,00
5 Отчисления на соц. Страхование 125,00
6 Общецеховые расходы 43,55
7 Общепроизводственные расходы 120,00
8 Внепроизводственные расходы 43,25
9 Расходы по содержанию и эксплуатации оборудования 384,00
Итого
1 362,35
Таблица 11.5 - Основные и вспомогательные материалы


Наименование Марка ед. измере-ния количес-тво Коэффи-циент исполь-зования Цена за ед. изм., руб. Общие затраты, руб.
Алюминий АЛ2 кг 0,25 0,9 8,00 1,80
Стеклотекстолит СФ1-35;1,5 кв.м 0,062 0,9 50,00 2,79
Пластмасса оргстекло кв.м 0,0002 0,8 45,00 0,01
Итого




4,60

Статья 3 «Основная зарплата ПР»:

(11.7)

где: Сч – тарифная ставка основных производственных рабочих в час, Сч=10 руб/ч.

Т – трудоемкость новой конструкции, нормо-часов, Т=24 ч.


Статья 4 «Дополнительная зарплата ПР»

(11.8)

где: =(20-40)% - коэффициент дополнительной зарплаты от основной.


Статья 5 «Отчисления на соц. страхование, пенсионный фонд, фонд занятости»:

(11.9)

где: - коэффициент отчислений;


Статья 6 «Общецеховые расходы»:

(11.10)

где: - процент общецеховых расходов;


Статья 7 «Общепроизводственные расходы»:

(11.11)

где: - процент общепроизводственных расходов:


Статья 8 «Внепроизводственные расходы»:

(11.12)

где: - производственная себестоимость;

- коэффициент непроизводственных расходов;


Статья 8 «Расходы по содержанию и эксплуатации оборудования»:

(11.13)

где: - процент расходов по содержанию и эксплуатации оборудования;


Полная себестоимость конструкции:

(11.14)


Определение цены нового технического средства

Цена представляет собой оценку потребительской стоимости конкретного изделия и подвержена колебаниям вокруг стоимости изделия, а колеблется она под влиянием спроса и предложения. Цена на новое техническое средство определяется по следующей формуле:

(11.15)

где: С – себестоимость разработки и изготовления устройства;

Р – установленный уровень рентабельности машиностроения


- цена прибора с учетом НДС.

Расчет эксплуатационных издержек потребителя

Таблица 11.6 – Расчет эксплуатационных издержек потребителя


Статьи затрат и методы расчета Базовый вариант Новый вариант

1 2 3
1

Годовая заработная плата производственных рабочих, руб:



1.1 Количество производственных рабочих, чел. 1 1
1.2 Часовая тарифная ставка, Сч, руб 12 12
1.3 Годовой фонд времени работы 3725 3725
1.4

Коэффициент, учитывающий дополнительную зарплату


1,2


1,2

1.5

Коэффициент, учитывающий отчисления соцстраху


1,4


1,4

1.6

Коэффициент переработки норм выработки

1,2 1,2
1.7

Коэффициент эквивалентности нового прибора к базовому по производительности ,

где и - годовая производительность.


1,5


1,5

1.8 Итого, зарплата, руб. 135173 135173

Продолжение таблицы 11.6


1 2 3




2

Годовые затраты на электроэнергию:



2.1

Суммарная мощность электродвигателей, кВт

100 50
2.2

Коэффициент, учитывающий использование электродвигателей

- по мощности:

- по времени:


0,75

0,75


0,70

0,70

2.3 Стоимость 1 кВт/час электроэнергии (С) 0,40 0,40
2.4

Коэффициент полезного действия электродвигателей


0,8


0,8

2.5 Итого, затрат на электроэнергию (Э), руб 28 12
3 Оптовая цена оборудования, Ц 1200001 108140
4

Коэффициенты, учитывающие:

- затраты на транспортирование

- затраты на ремонт


0,15


0,06


0,15


0,06

5

Затраты на текущий ремонт и техобслуживание, руб


12420


11193

6

Коэффициенты, учитывающие:

норму амортизационных отчислений на капитальный ремонт

общую норму амортизационных отчислений


0,043

0,107


0,043

0,107

7

Годовые затраты на амортизацию,


10629


9579

8

Производственная площадь, занимаемая оборудованием с учетом дополнительной площади


1,0


0,1

Продолжение таблицы 11.6


1 2 3
9

Содержание 1 кв. м. производственной площади в год, при работе в две смены


10000


9000

10

Годовые затраты на содержание производственной площади, руб:


15000


13500

11

Итого эксплуатационные расходы потребителя, руб.


173250


169457

12

Стоимость 1 кв. м. производственной площади, руб


1000


1000

13

Капитальные вложения в производственную площадь, руб.


1500


150

14

Затраты на транспортировку, монтаж, фундамент


27000


24331

15

Итого, сопутствующие кап. вложения потребителя:


28500


24481


Таблица 11.7 – Изменяющиеся статьи затрат


Статьи затрат Базовый вариант Новый вариант Экономия
1 Зарплата 135173 135173 0
2 Электроэнергия 28 12 1227
3 Текущий ремонт и техобслуживание 12420 11198 1227
4 Содержание производственных площадей 15000 13500 1500

Итого

2743
5 Амортизационные отчисления 10629 9579 1050

Всего

3793

Определение величины капиталовложений и прибыли


Таблица 11.7 – Объем производства, цена и средние переменные издержки

Наименование показателей 1 2 3
Объемы производства 100 150 250
Цена реализации 2401 2401 2401
Переменные издержки 1143,7 1143,7 1143,7

Таблица 11.8 – Расчет добавочной прибыли от инвестиций в проект

Наименование показателей 1 2 3
Выручка от реализации 240100 360150 600250
Переменные издержки 1143,7 1143,7 1143,7
Величина покрытия 238956,3 359006,3 599106,3
Расходы на рекламу 4322 6483 10372
Добавочная прибыль 234634,3 352523,3 588734,3

Таблица 11.9 – Расчет чистой дисконтной стоимости


Годы


Платежи по инвестициям

Добавочная прибыль от инвестиций, руб Ряд платежей и поступлений, руб. Норма дисконта, 15%
Коэффициент дисконтирования Текущий доход, руб.
0 -212541 - -212541 - -212541
1 - 234634,3 234634,3 0,8696 204038
2 - 352523,3 352523,3 0,7561 266545
3 Л=1977 588734,3 588734,3 0,6775 398867
Всего 201564 1175891,9 1120733
656909

После срока использования технического проекта необходимо определить его ликвидационную стоимость (Л), которая характеризует возврат средств от продажи технического устройства по остаточной стоимости.

Ориентировочную остаточную стоимость технического средства можно рассчитать по стоимости материалов и полуфабрикатов в приборе, уменьшенной на (80-90)%, с последующим дисконтированием .

(11.16)

11.5.3 Определение критического объема продаж или точки безубыточности

Критический объем продаж характеризует тот минимальный объем продаж нового прибора на рынке, с увеличением которого начинается погашение первоначально произведенных затрат на проектирование и создание опытного образца, а так же постоянных издержек производства, связанных с выпуском данного прибора.


(11.17)

где: - постоянные издержки производства;

- цена реализации прибора;

- реальные издержки;

К постоянным издержкам относятся затраты на проектирование конструкции и изготовления опытного образца.

К перечисленным издержкам относятся все затраты, связанные с изготовлением новой конструкции, кроме накладных расходов.



11.6 Оценка эффективности проекта

11.6.1 Определение чистого дисконтированного дохода (ЧДД)

чистый дисконтированный доход определяется как сумма текущих дисконтированных доходов (ТЧДД) за период реализации проекта, приведенная к начальному шагу, или как превышение интегральных результатов над интегральными затратами.

(11.18)

где: ТЧДД – это разность между дисконтированной прибылью каждого года и величиной капиталовложений каждого года.

(11.19)

тогда:



11.6.2 Определение индекса доходности

(11.20)

11.6.3 Определение срока окупаемости

(11.21)

Таблица 11.7 - Показатели эффективности проекта

Наименование показателя Величина
1 Чистый дисконтный доход 639975
2 Индекс доходности 2,91
3 Срок окупаемости 1 год
4 Точка безубыточности 65 ед.

Так как ЧДД является положительным, ИД>1, срок окупаемости меньше срока жизни проекта , можно сделать вывод, что проект является эффективным.

Лист




12. Безопасность и экологичность проекта

Введение

При нынешнем темпе развvития техники и производства, наибольшее внимание уделяется обеспечению наилучших условий для высокопроизводительной работы. Это требует улучшений условий труда, усиления предупреждения производственного травматизма и профессиональной заболеваемости.

В результате широкой автоматизации и механизации ликвидировано большинство опасных профессий, являющихся источником массового травматизма, значительно уменьшена профессиональная заболеваемость.

Одним из немаловажных аспектов улучшения условий труда является экологичность производства, которая в свою очередь зависит от экологичности техпроцессов, сырья, технологического оборудования и пр.

Научно-технический прогресс ставит ряд новых условий:

повышение технической оснащенности машиностроительных предприятий;

применение новых материалов, конструкций и процессов;

увеличение скоростей и мощности машин.

Все вышеперечисленное оказывает на человека значительное отрицательное влияние.

Все это делает необходимым научный подход к вопросам безопасности жизнедеятельности, которые включают систему законодательных актов, социально-экономических, организационных, технических и лечебно-профилактических мероприятий и средств, обеспечивающих безопасность и сохранение здоровья человека в процессе труда.

12.1 Анализ опасных и вредных факторов

В настоящее время анализу и изучению опасных и вредных производственных факторов уделяется большое внимание, так как они в значительной степени влияют на человека и следовательно, от улучшения условий труда и повышения безопасности, будет во многом зависеть эффективность трудовой деятельности, которая, в свою очередь, отражается на:

производительности труда;

себестоимости выпускаемой продукции;

качестве изделий;

научно-технических и лабораторных результатов.

Абсолютно безопасных и безвредных устройств не существует. Задача безопасности жизнедеятельности – свести к минимуму вероятность поражения или заболевания рабочих с одновременным обеспечением комфорта при максимальной производительности труда.

Опасным производственным фактором называется такой фактор, воздействие которого на работающего в определенных условиях, приводит к травматизму или другому внезапному ухудшению здоровья.

Вредным производственным фактором называется такой фактор, воздействие которого на работающего в определенных условиях, приводит к профессиональному заболеванию или снижению трудоспособности.

Между опасным и вредным фактором часто нельзя провести границы. Один и тот же фактор может привести к случаю, результатом которого является травма, повреждение тканей организма или профессиональное заболевание.

В соответствии с ГОСТ 12.1.007-76 опасные и вредные факторы подразделяются по своему действию на следующие группы:

а) физические;

б) химические;

в) биологические;

г) психофизиологические.

Отметим те производственные факторы, которые могут стать причиной несчастного случая, травмы или повлечь за собой снижение трудоспособности, либо профессиональное заболевание рабочего.

Физически опасные факторы можно подразделить:

движущиеся машины и механизмы;

незащищенные подвижные элементы производственного оборудования;

передвигающиеся изделия;

повышенное значение напряжения в электрической цепи, замыкание которой может произойти через тело человека.

Физически вредные факторы можно подразделить:

повышенный уровень шума на рабочем месте;

повышенный уровень электромагнитных излучений;

отсутствие или недостаток естественного света;

недостаточная освещенность рабочего места;

пониженная контрастность.

Также большое значение имеют природные факторы, зависящие от местности, в которой находятся производственные помещения, времени года, суток и метеоусловий.

Защита от этих факторов должна быть заложена в проекте и корректироваться в процессе эксплуатации.

Избежать несчастных случаев, причиной которых могут стать все из выше перечисленных факторов, возможно используя специальные кожухи, надеваемые на рабочие органы машин и механизмов, а так же ограждения, препятствующие проникновению человека в опасную зону.

Анализ влияния опасных факторов при работе с прибором

Отрицательное воздействие на пользователей разрабатываемого прибора, в основном связано с дискомфортными зрительными условиями. К ним относятся: несоответствие визуальных размеров прибора (по яркости, контрастности и т. д.); неправильное расположение рабочего места; и спроектированного освещения помещений. Рабочее место необходимо располагать таким образом, чтобы в поле зрения оператора не попадали оконные проемы и осветительные приборы. Следует добиваться уменьшения отражений на дисплее от различных источников света. Слишком низкие уровни освещенности ухудшают восприятие информации при измерении, а слишком высокие приводят к уменьшению контраста изображения на экране.

Несоблюдение гигиенических требований по визуальным параметрам приборов, к оснащению помещений для эксплуатации приборов и к освещенности приводит к ухудшению здоровья пользователей прибора.

Одной из мер профилактики развития, как общего утомления, так и утомления органов зрения является правильная организация режима работы.

Кроме дискомфортных зрительных условий существует также проблема экологичности разрабатываемого устройства. Так как техпроцесс проведения измерений с помощью данного прибора не имеет отходов производства и не несет никаких прямых изменений окружающей среды, остается только один фактор – электромагнитное излучение.

В услових производства характерно многообразие режимов генерации и вариантов воздействия. В частности для облучения в ближней зоне обычно характерно сочетание общего и местного облучения.

Велична предельного допустимого уровня электромагнитного облучения регламентируется рядом нормативных документов, таких, как:

Санитарные нормы и правила выполнения работ в условиях воздействия электрических полей промышленной частоты (50 Гц) № 5802-91.

Переменные магнитные поля промышленной частоты (50 Гц) в производственных условиях. СанПиН 2.2.4.723-98.

Предельно-допустимые уровни магнитных полей частотой 50Гц ПДУ № 3206-85.

В соответствии с вышеперечисленными документами электромагнитное излучение проектируемого прибора не превышает установленых предельно допустимых значений, так как источником электромагнитного излучения в приборе является маломощный транформатор блока питания с суммарной мощностью не более 10 Вт. Кроме того, в условиях машиностроительного производства такая мощность на несколько порядков ниже мощности производственного электрооборудования, поэтому электромагнитное излучение проектируемого прибора никак не отразится на общей картине электромагнитного поля в помещении.

12.2 Расчет защитного заземления блока

Электробезопасность по ГОСТ 12.1.030-81 ССБТ "Электробезопасность. Защитное заземление" - это система организационных мероприятий и средств, обеспечивающих защиту людей от вредного и опасного воздействия электрического тока, электрической дуги, электрического поля и статического электричества.

Согласно ГОСТ 12.1.070-81 защитное заземление должно обеспечивать защиту людей от поражения электрическим током при прикосновении к металлическим нетоковедущим частям, которые могут оказаться под напряжением в результате повреждения изоляции.

Расчет защитного заземления производим по методике, приведенной в [9].

Согласно ГОСТ 12.1.030-81 сопротивление защитного заземления должно быть .

Среднее значение идеального сопротивления грунта, измеряемое при 10-20% влажности примерно равно . Значение климатического коэффициента принимаем равным .

Выбираем в качестве заземлителей вертикальные электроды из стальных труб диаметром и длиной .

Определяем величину расчетного удельного сопротивления грунта :

(12.1)

5) Определяем сопротивление растеканию тока одиночного стержневого заземлителя:


(12.2)

где: - длина стержня, м;


- диаметр стержня, м;

- глубина заземления, м;


(12.3)



6) Определим ориентировочное число заземлителей:

(12.4)

7) В качестве соединительных проводников выбираем стальные полосы шириной и длиной .

Определяем сопротивление соединительной полосы, Ом:

(12.5)

где: - ширина полосы, см;


- глубина заземления, см;

(12.6)

a – расстояние между заземлителями; a=1 м;

n – количество заземлителей;



Определим коэффициент использования полосы:


8) Определим общее сопротивление защитного заземления, Ом:


(12.7)



12.3 Расчет освещенности рабочего места

Расчет системы общего освещения в цехе производится по методу коэффициента использования светового потока [8].

Исходные данные для расчета являются параметры помещения:

Высота, H, м
5,0
Длина, А, м
10
Ширина, Б, м
6,0

Высота рабочей поверхности, , м


0,8

Расстояние от светильника до потолка, , м


0,4

О
свещенность помещения и рабочих поверхностей определяется степенью точности работы и определяются из таблицы 12.

Примем нормированную освещенность рабочей поверхности для зрительной работы малой точности Е=200 Лк.

Рассчитаем высоту подвеса светильника над рабочей поверхностью.

(12.8)


Определим показатель ,

где - коэффициент запаса, k=1,5.

E=200 – освещенность люминесцентными лампами для категории зрительной работы малой точности по СНиП 23.05-95;


Наиболее экономичным является светильник, имеющий следующие данные:

Тип светильника
ОДР 280
Тип лампы
ЛБ 80
Напряжение электрической сети, В
220
Мощность лампы
80
Характер распределения светового потока
прямое
Область применения
для общего освещения нормальных производственных помещений

Коэффициент соответствия светотехнически наивыгоднейшему расположению,



1,4

Определим расстояние между светильниками

(12.9)


Число рядов светильников:

(12.10)

Вычислим индекс помещения:

(12.11)

где: S – площадь помещения, S=60


Коэффициенты отражения помещения:

Потолка, , %


70

Стен, , %


50

Рабочей поверхности , %


10

В соответствии с ГОСТ 23.05-95 коэффициент использования принимаем

Коэффициент неравномерности освещения равен z=1,1.

Определим необходимое число ламп:

(12.12)

где: Т – световой поток лампы, Т=4320 лм;


Таким образом, число витков светильников будет равно 5. Расстояния между светильниками в ряду будет составлять:

(12.13)

где: b – ширина светильника, b=0,34 м;

V – число светильников, V=5;



Лист




Список использованных источников

Бирюков С.А. «Цифровые устройства на интегральных микросхемах» М., «Радио и Связь», 1984 г.

Горбунов В.Л. Панфилов Д.И. Преснухин Д.Л. «Справочное пособие по микропроцессорам и микроЭВМ». М., «Высшая школа» 1988 г.

Гутников В.С. «Интегральная электроника в измерительных устройствах». Л., «Энергоатомиздат» 1988 г.

Ермушина Л.П. И др. «Методическое руководство по оценке эффективности технических предложений при выполнении курсовых и дипломных проектов». Ростов-на-Дону, «ДГТУ» 1996 г.

Корячко В.П. «Микропроцессоры и МикроЭВМ в радиоэлектронных средствах» М., «Высшая школа» 1990 г.

Куликовский А.А. «Справочник по радиоэлектронике» том 2. М., «Энергия» 1968 г.

Напрасник М.В. «Микропроцессоры и микроЭВМ». М., «Высшая школа» 1989 г.

Петинова М.П. «Расчет искусственного освещения в производственных помещениях». Методические указания. Ростов-на-Дону, «ДГТУ» 1993 г.

Петинова М.П. «Расчет защитного заземления». Методические указания. Ростов-на-Дону, «ДГТУ» 1993 г.

Рафикузаман М. «Микропроцессоры и машинное проектирование микропроцессорных систем». М., «Мир» 1988 г.

Хащин С.М., Герасименко В.П. «Рынок научно-технической продукции». Учебное пособие. Ростов-на-Дону, «ДГТУ» 1999 г.

Хвощ С.Т., Варлинский Н.Н., Попов Е.А. «Микропроцессоры и микроЭВМ в системах автоматического управления». Справочник. Л., «Машиностроение» 1987 г.

Лист




Поз.

Наименование Кол-во Примечание





Покупные изделия





1 Винт М3 ГОСТ 1491-80 14
2 Винт М4 ГОСТ 1491-80 14
3 Переключатель ПКН41-1 КВО 360006 ТУ 1
4 Розетка приборная СР50-73 ФВ ВРО 364.010 ТУ 1
5 Розетка РД1 ГАО.364.003 ТУ 1
6 Гнездо предохранителя ЦЮ7.767.161-02 1









Вновь разрабатываемые изделия



1
7 Узел микропроцессора 1901.280010.000 1
8 Блок питания 1901.280030.000 1
9 Блок индикации и клавиатуры 1901.280020.000 1
10 Шасси 1901.280000.001 1
11 Крышка 1901.280000.002 1
12 Стекло защитное 1901.280000.003 1
13 Лицевая панель1901.280000.004 1








































Изм.

Лист

Дата

Подп.

№ докум.

1901.280020.000ВО

Лист

2




Поз. обозначение

Наименование Кол-во Примечание




HL1…HL8 Индикатор знаковый АЛС334 аАО.336.043 ТУ 8




S1…S16 Переключатель ПКМ 1Б ЕЩО.360.037 ТУ 16




XS Разъем МРН-40-1 ОЮО.364.003 ТУ 1































































































Формат

Зона Поз. Обозначение Наименование Кол-во Примечание











Документация








А2

1901.280020.000 СБ Сборочный чертеж

А2

1901.280020.000 Э3 Схема электрическая





принципиальная












Детали








А2
1 1901.280020.001 Плата печатная












Стандартные изделия










4
Индикатор знаковый 4 HL1…HL8




АЛС334 аАО.336.043 ТУ










5
Переключатель ПКМ 1Б 16 S1…S16




ЕЩО.360.037 ТУ










6
Разъем МРН-32-1 1




ОЮО.364.005 ТУ










2
Гайка М2,5 ГОСТ 5915-70 2









3
Шайба 2,5 65Г ГОСТ 6402-70 2































Формат

Зона Поз. Обозначение Наименование Кол-во Примечание











Документация








А2

1901.280030.000 СБ Сборочный чертеж

А2

1901.280030.000 Э3 Схема электрическая





принципиальная












Детали








А2
1 1901.280030.001 Плата печатная 1


2 1901.280030.002 Трансформатор 1


4 1901.280030.003 Шасси 1


11 1901.280030.004 Кронштейн 1


3 1901.280030.006 Втулка 4











Стандартные изделия












Конденсаторы К50-24





ОЖО.464.137 ТУ



12
К50-24-25В-1000 мкф20% 1 С1


13
К50-24-25В-2200 мкф20% 2 С2,С3











Микросхемы



14
КР142ЕН8Б бко.348.454.003 1 DA1


15
КР142ЕН5В бко.348.454.015 3 DA2,DA3









16
Переключатель ПКН41-1





КВО.360.006 ТУ 1 S








Формат

Зона Поз. Обозначение Наименование Кол-во Примечание




Диоды



17
КЦ405Е УФО.336.008 1 VD1


18
КЦ410А УФО.336.002 2 VD2,VD3









19
Розетка МРН8-1





ОЮО.364.003 ТУ 1 XS









7
Винт М3 ГОСТ 1491-80 4


8
Винт М2,5 ГОСТ 1491-80 7


6
Гайка М3 ГОСТ 5915-70 4


9
Гайка М2,5 ГОСТ 5915-70 3


10
Шайба 2,5 65Г ГОСТ 6402-70 3


5
Шайба 3 65Г ГОСТ 6402-70 4








































































































































Формат

Зона Поз. Обозначение Наименование Кол-во Примечание











Документация








А1

1901.280010.000 СБ Сборочный чертеж

А1

1901.280010.000 Э3 Схема электрическая





принципиальная












Детали








А1
1 1901.280010.001 Плата печатная












Стандартные изделия












Конденсатор



4
МБМ-25В-10мкФ ±10%





ГОСТ 5.171-75 1 С1




Резисторы ГОСТ 7113-77Е



5
МЛТ-0,125-10 кОм ±10% 9 R1…R9


6
МЛТ-0,125-2,7 кОм ±10% 1 R10


7
МЛТ-0,125-10кОм ±10% 3 R14,R16


8
МЛТ-0,125-100 Ом ±10% 1 R12


9
МЛТ-0,125-5,1 кОм ±10% 1 R13











Прочие изделия












Микросхемы



10
К140УД6 бко.348.454 ТУ 1 DA1


11
КР580ГФ24 бко.347.281-09 ТУ 1 DD1








Формат

Зона Поз. Обозначение Наименование Кол-во Примечание


12
КР580ВМ80 бко.347.281-01 ТУ 1 DD2


13
КР580ВВ79 бко.347.281-04 ТУ 1 DD3


14
КР580ВК28 бко.347.281-02 ТУ 1 DD4


15
КР555ИД4 бко.346.362-32 ТУ 3 DD5,6,9


16
КР580ВВ55 бко.347.281-03 ТУ 1 DD7


17
К573РФ2 бко.345.129 ТУ 1 DD8


18
К537РУ10 бко.341.325 ТУ 1 DD10


19
К572ПВ3 бко.348.362 ТУ 1 DD11




Резисторы СП2-1





ГОСТ 22738-77



20
СП2-1-0,25-10 кОм ВС-2-12,5 1 R11


21
СП2-1-0,25-33 кОм ВС-2-12,5 1 R15


22
СП2-1-0,25-5,1 кОм ВС-2-12,5 1 R17


23
Разъем МРН-40-1 1 XS




ОЮО.364.003 ТУ










24
Резонатор 1 ZQ




РК 170БВ-14БП-5000К





ОДО.338.017 ТУ










2
Гайка М2,5 ГОСТ 5915-70 2









3
Шайба 2,5 65Г ГОСТ 6402-70 2