Главная              Рефераты - Информатика

Разработка системы для моделирования радиолокационной обстановки, которая бы позволила получать файлы на персональной ЭВМ, содержащие цифровое представление радиолокационной обстановки - дипломная работа

Аннотация

Платонов М. В. Система цифрового моделирования радиолокационной обстановки. – Челябинск, ЮУрГУ, ЭВМ, 2003, 105 с., 18 ил., библиография литературы – 25 наименований, 8 листов чертежей ф. А1.

Настоящий дипломный проект посвящен разработке программного комплекса для цифрового моделирования радиолокационной обстановки. Разрабатываемая система не имеет аналогов и является перспективным изделием в рамках моделирования процессов радиолокации и обработки принимаемых радиолокационных сигналов.

После анализа технического задания была выбрана структура программного комплекса, разработан пользовательский интерфейс и программно реализованы математические модели радиолокационных объектов.

В пояснительной записке содержится экономическое обоснование необходимости проведения работ, выполнено сетевое планирование и разработаны мероприятия по безопасности жизнедеятельности при работе пользователя при работе с персональной ЭВМ. В технологической части приведен разработанный программный документ – техническое задание.

СОДЕРЖАНИЕ

Введение

1 Постановка задачи

1.1 Основные понятия

1.2 Цель дипломного проектирования

1.3 Реализуемые функции

2 Разработка математического обеспечения

2.1 Математическая модель радиолокационной обстановки

2.2 Математическая модель РЛС

2.2.1 Математическая модель антенны

2.2.2 Математическая модель передающего устройства

2.2.3 Математическая модель приемного устройства

2.3 Разработка связей между моделями

3 Разработка программного комплекса

3.1 Разработка структуры программного комплекса

3.2 Разработка алгоритмов работы программного комплекса

3.2.1 Общий алгоритм работы программного комплекса

3.2.2 Разработка алгоритма реализации математических моделей

3.3 Программная реализация математических моделей

3.4 Разработка интерфейса пользователя

3.4.1 Выбор среды разработки

3.4.2 Описание интерфейса пользователя

4 Технологический раздел

4.1 Постановка задачи

4.2 Текст документа

4.2.1 Основание для разработки

4.2.2 Назначение разработки

4.2.3 Область применения

4.2.4 Требования к программному изделию

4.2.5 Требования к программной документации

4.2.6 Технико-экономические показатели

4.2.7 Стадии и этапы разработки

5 Вопросы безопасности жизнедеятельности

5.1 Анализ опасных и вредных производственных факторов

5.1.1 Опасные производственные факторы

5.1.2 Вредные производственные факторы

5.2 Мероприятия по безопасности при работе с дисплейной техникой

5.2.1 Электробезопасность

5.2.2 Пожаробезопасность

5.2.3 Микроклиматические параметры

5.2.4 Освещение

5.2.5 Электромагнитное излучение

5.2.6 Шум

5.2.7 Эргономика, производственная эстетика и культура производства

6 Организационно-экономический раздел

6.1 Сетевое планирование

6.1.1 Составление перечня работ и построение сетевого графика

6.1.2 Расчет параметров сетевого графика

6.2 Смета затрат на проведение работ

6.2.1 Расходные материалы

6.2.2 Командировочные расходы

6.2.3 Контрагентские расходы

6.2.4 Расходы на покупные изделия и специальное оборудование

6.2.5 Заработная плата сотрудников

6.2.6 Отчисления на социальные нужды

6.2.7 Накладные расходы

6.2.8 Смета затрат на выполнение всех работ

6.3 Анализ технико-экономической эффективности

Заключение

Список сокращений

Литература

Приложения

1 Структура пакета данных на 2 листах ф. А4

2 Заголовочный файл для реализации математических моделей на 4 листах ф. А4

3 Графическая часть на 8 листах ф. А1


Введение

Постоянно растущая интенсивность полетов и увеличение числа гражданских рейсов воздушного транспорта требуют более широкого внедрения радиоэлектронных средств для обеспечения управления воздушным движением, навигации и посадки. Безопасность и регулярность полетов самолетов в значительной степени зависит от состава оборудования, рациональной компоновки и его безотказной работы. Для контроля местоположения самолета на трассе применяются наземные радиолокационные станции (РЛС).

При проектировании и разработке РЛС в целом или отдельных модулей встает задача выбора параметров и режимов работы, проверка работоспособности и отладка разрабатываемого изделия.

В реальных условиях для проверки работоспособности всей РЛС или отдельного модуля необходимо осуществить выезд на испытательный полигон, предварительно договорившись с руководством специализированной летной организации на проведение тренировочных полетов в заданном районе по заданным траекториям.

Очевидно, что при таком подходе стоимость разработки заметно увеличивается. Увеличиваются также сроки разработки, поскольку изготовление и доработка тестируемых блоков и модулей требует дополнительного времени и людских ресурсов. В случае обнаружения неустранимых ошибок в конструкции изделия из-за неправильного выбора параметров или режимов работы зачастую требуется повторное изготовление всего блока или модуля.

Темой данной дипломной работы является разработка системы для моделирования радиолокационной обстановки, которая бы позволила получать файлы на персональной ЭВМ, содержащие цифровое представление радиолокационной обстановки. Данные файлы могут быть использованы для проверки корректности работы реальных устройств обработки принимаемых радиолокационных сигналов, реализуемых на программируемых логических интегральных схемах и цифровых сигнальных процессорах.

Создание подобной системы позволит проводить испытание, проверку и настройку опытных образцов схем и устройств непосредственно на месте разработки, без выезда на испытательный полигон, что позволит снизить затраты на разработку.

Поскольку станет возможным создание практически любой радиолокационной обстановки, то можно будет промоделировать самую критическую в плане обнаружения цели обстановку и проверить работу оборудования в этом режиме.

Данную систему можно также применять как макет для обучения студентов радиотехнических специальностей вузов основам радиолокации и последующей обработки информации.

Подобная система не имеет аналогов и является новым перспективным изделием в рамках моделирования процессов радиолокации и обработки принимаемых радиолокационных сигналов.


1 Постановка задачи

1.1 Основные понятия

Прежде чем описывать работу и назначение программного комплекса введем основные понятия и определения, необходимые для знакомства с данной предметной областью и понимания основных идей, заложенных при разработке программного комплекса.

Радиолокация – это область радиотехники, обеспечивающая радиолокационное наблюдение различных объектов, т.е. их обнаружение, измерение координат и параметров движения, а также выявление некоторых структурных или физических свойств путем использования отраженных или переизлученных объектами радиоволн либо их собственного радиоизлучения.

Радиотехнические устройства радиолокационного наблюдения называются радиолокационными станциями (РЛС). Сами же объекты радиолокационного наблюдения именуются радиолокационными целями.

Источником радиолокационной информации является радиолокационный сигнал. В зависимости от способов его получения различают следующие виды радиолокационного наблюдения.

1. Радиолокация с пассивным ответом, основанная на том, что излучаемые РЛС колебания – зондирующий сигнал – отражаются от цели и попадают в приемник РЛС в виде отраженного сигнала или эхо-сигнала. Важным требованием к целям в этом случае является отличие от отражающих свойств окружающей среды.

2. Радиолокация с активным ответом, характеризуется тем, что ответный сигнал является не отраженным, а переизлученным с помощью специального ответчика – ретранслятора. При этом заметно повышается дальность и контрастность радиолокационного наблюдения, что позволяет использовать данный вид радиолокации для наблюдения радиолокационных целей на больших расстояниях, а также искусственных спутников Земли.

3. Пассивная радиолокация основана на приеме собственного радиоизлучения целей, преимущественно миллиметрового и сантиметрового диапазонов.

Основными составными частями РЛС являются приемник, передатчик, антенное устройство и оконечное устройство.

Рисунок 1.1 – Структурная схема простейшей импульсной РЛС

У большинства РЛС приемная и передающая антенны расположены в непосредственной близости друг от друга, а РЛС с импульсной модуляцией (наиболее широко используемые в настоящее время) обычно имеет одну антенну, снабженную специальным антенным переключателем для перехода из режима передачи в режим приема и обратно (рисунок 1.1). В импульсных РЛС антенный переключатель содержит разрядники защиты приемника и блокировки передатчика, которые коммутируют антенну либо с передатчиком, либо с приемником.

Передатчик РЛС вырабатывает высокочастотные колебания, которые модулируются по амплитуде, частоте или фазе иногда весьма сложным образом. Эти колебания передаются в антенное устройство и образуют зондирующий сигнал.

Излучаемые колебания нельзя считать радиолокационным сигналом, т.к. они никакой информации о цели не несут. После того как электромагнитная волна, падающая на цель (первичная волна), вызывает в ее теле вынужденные колебания электрических зарядов, цель подобно обычной антенне создает электромагнитное поле. Это поле в дальней зоне представляет собой вторичную, т.е. отраженную электромагнитную волну, создающую в РЛС радиолокационный сигнал, который является носителем информации о цели.

Приемник РЛС необходим для оптимального выделения полезного сигнала из помех (первичная обработка сигнала). Оконечное (выходное) устройство служит для представления радиолокационной информации в нужной потребителю форме.

Радиолокационная обстановка есть совокупность отраженных от радиолокационных объектов сигналов, излучаемых РЛС. На распространение сигналов в пространстве также оказывают влияние условия окружающей среды /1/.

Все возможные радиолокационные объекты (цели) можно разделить на сосредоточенные и распределенные в пространстве. К сосредоточенным относятся объекты, размеры которых заметно меньше элементов разрешающего объема РЛС. Разрешающий объем - это часть пространства, облучаемого РЛС, в пределах которого цели не наблюдаются раздельно. Сосредоточенные цели, в свою очередь, можно разделить на одиночные и групповые, состоящие из ряда независимых одиночных целей. Одиночные сосредоточенные цели называются точечными целями. Они практически не изменяют форму отраженного сигнала. К распределенным целям относятся земная и водная поверхность (поверхностные цели), облака, дождь, снег, туман (объемные цели).

1.2 Цель дипломного проектирования

При проектировании и разработке РЛС в целом или отдельных модулей встает задача выбора параметров и режимов работы, проверка работоспособности и отладка разрабатываемого изделия.

В реальных условиях для проверки работоспособности всей РЛС или отдельного модуля необходимо осуществить выезд на испытательный полигон, предварительно договорившись с руководством специализированной летной организации на проведение тренировочных полетов в заданном районе по заданным траекториям. В ходе испытаний принимаемые РЛС сигналы фиксируются при помощи специального устройства для записи или цифрового магнитофона, подключенного к приемному тракту, как показано на рисунке 1.2.

Входной аналоговый сигнал, содержащий сведения о радиолокационной обстановке, обрабатывается в приемном тракте РЛС, оцифровывается и записывается в цифровом виде в выходной файл. Выходной файл содержит как данные о радиолокационной обстановке, так и данные о параметрах конкретной РЛС. Анализ данного файла может дать некоторые сведения о работе РЛС.

Рисунок 1.2 – Схема включения записывающего устройство в приемный тракт РЛС

Очевидно, что при таком подходе стоимость разработки заметно увеличивается. Увеличиваются также сроки разработки, поскольку изготовление и доработка тестируемых блоков и модулей требует дополнительного времени и людских ресурсов. В случае обнаружения неустранимых ошибок в конструкции изделия из-за неправильного выбора параметров или режимов работы зачастую требуется повторное изготовление всего блока или модуля.

Для снижения всех вышеперечисленных затрат ранее записанные файлы с данными о радиолокационной обстановке можно подавать на вход реальных устройств обработки (рисунок 1.3), и тем самым можно промоделировать работу всей РЛС без выезда на испытательный полигон.

Информация, записанная в файле, вновь преобразуется в аналоговый вид и подается на устройство обработки информации, реализованные в РЛС. На оконечном устройстве РЛС (мониторе, пульте диспетчера) отображается уже обработанная информация, а поскольку входной сигнал известен, то можно судить о качестве обработки.

Может применяться также еще один цифровой магнитофон, позволяющий записывать уже обработанную информацию с входа оконечного устройства, что позволит получить более полную информацию о качестве обработки входного сигнала.

Рисунок 1.3 – Схема для проверки аналогово-цифровых устройств обработки информации

Другим вариантом проверки оборудования РЛС может служить схема представленная на рисунке 1.4 и использоваться для проверки цифровых устройств обработки информации, когда не требуется перевод информации в аналоговый вид.

Подход к анализу информации остается тем же.

Рисунок 1.4 – Схема для проверки цифровых устройств обработки информации

Подобный подход позволяет проверить работу разрабатываемого изделия на его модели, т.е. уже на этапе проектирования можно проверить некоторые технические решения, выбрать режимы работы и параметры разрабатываемого изделия.

Если моделировать файлы с данными о радиолокационной обстановке на персональном компьютере, то можно промоделировать работу всей РЛС и проверить работу в самой критической с точки зрения обнаружения сигнала обстановке. Использование ПЭВМ для разработки повышает культуру производства, снижает сроки на разработку и материальные затраты.

Таким образом, цель дипломного проектирования – разработка программного комплекса для моделирования радиолокационной обстановки на персональном компьютере, позволяющего моделировать радиолокационную обстановку по заданным параметрам, создавать выходной файл, содержащий рассчитанную модель, использовать полученный файл для проверки реальных устройств обработки информации.

1.3 Реализуемые функции

После анализа технического задания были выделены следующие функции, которые должны выполняться разрабатываемым программным комплексом:

1. Функция организации интерфейса с пользователем. Программный комплекс в рамках организации интерфейса с пользователем должен реализовывать следующие функции:

– функция модификации данных – необходимо организовать ввод пользователем параметров, характеризующих конкретную РЛС и радиолокационную обстановку. Необходимо проверять вводимые данные на непротиворечивость и корректность. При разработке следует учесть, что с программным комплексом, возможно, будут работать пользователи, уровень подготовки которых для работы на персональной ЭВМ является невысоким;

– функция загрузки и сохранения данных – необходимо обеспечить возможность сохранения введенных пользователем данных и их последующую загрузку из типизированных файлов;

– функция формирования выходного файла – по результатам моделирования необходимо сформировать выходной файл, который может использоваться для проверки реальных устройств обработки сигналов.

2. Функция организации обмена данными с цифровыми устройствами обработки сигналов – необходимо организовать загрузку данных из файлов с радиолокационной обстановкой в реальные устройства обработки сигналов. Программный комплекс в рамках организации обмена данными с цифровыми устройствами обработки сигналов должен реализовывать следующие функции:

– функция определения доступных аппаратных ресурсов – при инициализации программного комплекса необходимо произвести определение доступных аппаратных ресурсов, поиск драйверов для данных устройств и их инициализацию;

– функция обмена данными с доступными аппаратными ресурсами – непосредственно организация обмена данными со специализированным устройством под управлением ранее выбранного драйвера.

3. Организация обмена данными с внешними приложениями – необходимо организовать обмен данными с другими приложениями в виде графической информации и информации, которая может быть использована для организации процесса моделирования, самими результатами моделирования.

4. Моделирование радиолокационной обстановки – по введенным пользователем данным, необходимо произвести расчет сигналов, образующих радиолокационную обстановку.


2 Разработка математического обеспечения

В настоящее время моделирование различных процессов и явлений широко используется для разнообразнейших исследований и разработок в различных областях науки и техники. Применение современной вычислительной техники позволяет получать достаточно точные модели, максимально точно описывающие моделируемое явление или процесс. Наиболее широко используется математическое и имитационное моделирование.

В основе математического моделирования лежат математические модели – каждый процесс или явление описывается при помощи математических уравнений, что требует серьезного математического аппарата. Реализация математических моделей на ПЭВМ возможна при использовании численных методов для реализации различных математических функций и операторов, что вносит погрешность в расчеты.

Имитационное моделирование подразумевает получение и использование модели, описывающее механику процесса или явления, т.е. то, как протекает процесс в реальной обстановке и что лежит в основе этого процесса. Имитационное моделирование, в основном, опирается на физические законы, для реализации которых также необходим аппарат математических функций.

Наиболее приемлемым способом для описания моделируемой предметной области в данном дипломном проекте может служить имитационное моделирование, основанное на математических моделях некоторых атомарных объектов. В качестве такого объекта, как будет показано ниже, можно взять точечную цель, а всю модель РЛС разделить на модели основных ее модулей. В этом случае будет достигнут компромисс между точностью модели и суммарными вычислительными затратами на реализацию модели.


2.1 Математическая модель радиолокационной обстановки

Радиолокационная обстановка характеризуется расположением и характером радиолокационных объектов (целей) в зоне действия РЛС, а также условиями окружающей среды, оказывающими влияние на распространение радиолокационных сигналов.

При распространении радиоволн следует учитывать явление дисперсии волн, т.е. зависимость фазовой скорости от частоты сигнала. Явление дисперсии наблюдается вследствие того, что коэффициент преломления атмосферы отличается от единицы, т.е. скорость электромагнитных волн в этом случае несколько меньше скорости света.

Другим существенным эффектом распространения радиоволн в реальной среде является искривление направления распространения или рефракция волн. Это явление может возникнуть в неоднородной среде, т.е. среде с изменяющимся от точки к точке коэффициентом преломления /4/.

Поскольку все эти эффекты слабо изменяют характеристики радиолокационного сигнала, то ими можно пренебречь.

Любая радиолокационная цель или объект характеризуется своим местоположением в пространстве, параметрами движения, эффективной отражающей поверхностью (ЭПР), а также функцией распределения ЭПР по поверхности объекта (для распределенных объектов).

Местоположение объекта (цели) характеризуется положением центра масс этого объекта (цели) в некоторой опорной системе координат /2/. В радиолокации наиболее часто применяют местную сферическую систему координат, начало которой находится в точке размещения антенны РЛС.

В наземной РЛС одна из осей координатной системы обычно совпадает с северным направлением меридиана, проходящего через позицию антенны РЛС, и местоположением цели Ц находится по результатам измерения наклонной дальности D , азимута α и угла места β (рисунок 2.1). При этом система неподвижна относительно земной поверхности.


Рисунок 2.1 – Местные сферические координаты

Измерение дальности до цели радиотехническими методами основано на постоянстве скорости и прямолинейности распространения радиоволн, которые выдерживаются в реальных условиях с достаточно большой точностью. Измерение дальности сводится к фиксации моментов излучения зондирующего сигнала и приема отраженного сигнала и измерению временного интервала между этими двумя моментами. Время запаздывания отраженного импульса:

(1)

где D – расстояние между РЛС и целью (рисунок 2.1), м;

c – скорость распространения радиоволн, м/с.

Для определения радиальной скорости движущегося объекта используют эффект Доплера /3/, который заключается в изменении частоты наблюдаемых колебаний, если источник и наблюдатель движутся друг относительно друга. Поэтому задача определения радиальной скорости сводится к определению частоты отраженных колебаний по сравнению с излучаемыми. Простейший и наиболее удобный для радиолокации вывод количественных соотношений при эффекте Доплера основан на рассмотрении процесса «передача – отражение – прием» как единого. Пусть в антенну поступают колебания:

(2)

Отраженный от неподвижной цели и запаздывающий на время t З сигнал на входе приемника будет иметь вид:

(3)

Здесь имеет место сдвиг фаз:

(4)

а также постоянный сдвиг фаз φЦ , возникающий при отражении. При удалении от РЛС с постоянной радиальной скоростью дальность.

(5)

где VP – радиальная скорость цели (рисунок 2.2), м/с.

Рисунок 2.2 – Радиальная скорость цели относительно РЛС


Подставляя соответствующее значение из (1) в (4), получаем:

(6)

Частота отраженных колебаний, определяемая посредством производной фазы колебаний φС по времени, равна:

(7)

Отсюда (8)

т.е. при удалении цели от РЛС частота отраженных колебаний ниже, чем излучаемых.

Величина

(9)

именуется доплеровской частотой.

Мощность отраженного сигнала на входе приемника РЛС зависит от целого ряда факторов /4/ и, прежде всего, от отражающих свойств цели. Первичная (падающая) радиоволна наводит на поверхности цели токи проводимости (для проводников) или токи смещения (для диэлектриков). Эти токи являются источником вторичного излучения в разных направлениях.

Отражающие свойства целей в РЛС принято оценивать эффективной площадью рассеяния (ЭПР) цели S 0 :

(10)

где ξ – коэффициент деполяризации вторичного поля (0 ≤ ξ ≤ 1);

P ОТР = S · D 0 ·П1 – мощность отраженного сигнала, Вт;

П1 – плотность потока мощности радиолокационного сигнала на сфере радиусом R в окрестности точки, где находится цель, Вт/м2 ;

D 0 – значение диаграммы обратного рассеяния (ДОР) в направлении на радиолокатор;

S – полная площадь рассеяния цели, м2 .

ЭПР цели представляет собой выраженный в квадратных метрах коэффициент, учитывающий отражающие свойства цели и зависящий от конфигурации цели, электрических свойств ее материала и отношения размеров цели к длине волны.

Данную величину можно рассматривать как некоторую эквивалентную цели нормальную радиолучу площадку площадью S 0 , которая, изотропно рассеивая всю падающую на нее от РЛС мощность волны, создает в точке приема ту же плотность потока мощности, что и реальная цель. Эффективная площадь рассеяния не зависит ни от интенсивности излучаемой волны, ни от расстояния между станцией и целью.

Поскольку измерение ЭПР реальных объектов на практике затруднено из-за сложной формы последних, то иногда при расчетах оперируют с величиной отраженной от радиолокационного объекта энергией или отношением отраженной энергии к излучаемой.

Если радиолокационный объект является распределенным, т.е. состоит из множества независимых излучателей, то для нахождения ЭПР применяют одну из двух моделей отражения. В обеих моделях цель представляется в виде совокупности n точечных элементов, среди которых нет преобладающего отражателя (первая модель), либо имеется один преобладающий отражатель (вторая модель), который дает стабильный отраженный сигнал.

В технической радиолокационной литературе /2, 4/ по радиолокации используют обобщенную модель Сверлинга с распределением вида:

(11)

где – среднее значение ЭПР, м2 .

Это выражение соответствует распределению c 2 с 2 k степенями свободы, где k определяет сложность модели отражения цели. При k = 1 получаем модель с экспоненциальным распределением ЭПР, а при k = 2 – модель цели в виде большого отражателя, меняющего в небольших пределах ориентацию в пространстве, или набора равноправных отражателей плюс наибольший.

Закон распределения амплитуд отраженного сигнала сводится к обобщенному закону Релея /4/:

(12)

где E – амплитуда отраженного сигнала, В;

E 0 – амплитуда отраженного сигнала от доминирующего излучателя, В;

σ2 – дисперсия ортогональных составляющих амплитуд, В2 ;

I 0 – модифицированная функция Бесселя первого рода нулевого порядка:

(13)

В случае группового излучателя, состоящего из n точечных излучателей, диаграмма распределения ЭПР по азимутам имеет весьма сложную лепестковую структуру, зависящую от взаимного расположения отражающих элементов и относительно расстояний между ними. Поэтому групповые цели в зависимости от их углового положения относительно линии визирования могут давать значительные колебания мощности отраженных сигналов. Эти колебания происходят относительно среднего уровня, пропорционального среднему значению ЭПР при некогерентном сложении. Одновременно с колебаниями мощности отраженного сигнала наблюдаются случайные изменения времени его запаздывания и угла прихода.

Для движущихся распределенных целей возникает явление интерференции колебаний вторичного излучения от различных точек, в основе которого лежит изменение взаимного расположения точечных отражателей цели. Эффект Доплера является следствием данного эффекта. Для описания явления применяется диаграмма обратного рассеяния (ДОР), которая характеризует зависимость амплитуды отраженного сигнала от направления /2/.

Кроме того, при облучении целей возникает явление деполяризации зондирующего сигнала, т.е. поляризация отраженной и падающей волны не совпадают. Для реальных целей имеет место флуктуирующая поляризация, т.е. все элементы поляризационной матрицы /1/ являются случайными и необходимо воспользоваться матрицей числовых характеристик этих случайных величин.

При статистическом подходе к анализу радиолокационных объектов для описания функций последних применяется корреляционная функция или корреляционная матрица /8/, которые характеризуют изменение параметров объекта во времени. Недостатком данной модели является сложность расчетов из-за необходимости применения статистических методов и сложность организации ввода исходных параметров.

Исходя из вышесказанного, для описания радиолокационного объекта необходимо знать его положение в пространстве, протяженность по дальности и азимуту (для распределенных объектов), ЭПР и модель ее распределения, модель движения объекта или закон изменения доплеровского приращения частоты отраженного сигнала, число точечных излучателей (для групповых излучателей).

2.2 Математическая модель РЛС

Как уже отмечалось в пункте 1.1, основными модулями РЛС являются блок антенны, совместно с антенным переключателем, передатчик и приемное устройство. В качестве оконечного устройства может быть использован большой класс разнообразных устройств, различающихся по способу отображения информации и не влияющих на принимаемые радиолокационные сигналы, поэтому данный класс устройств не рассматривается.

2.2.1 Математическая модель антенны

Одной из основных характеристик антенны является ее диаграмма направленности (ДНА) /5/, которая характеризует зависимость излучаемой мощности от направления (рисунок 2.3).


Рисунок 2.3 – Диаграмма направленности антенны по мощности

Диаграмма направленности антенны в плоскости азимут-дальность при постоянном угле места с равномерным распределением поля по раскрыву выражается функцией:

(14)

Угол β при равномерном движении антенны по окружности можно найти по формуле:

(15)

где ω – угловая скорость вращения антенны, рад/с.

Рассмотрим форму отраженного сигнала в РЛС кругового обзора. По мере вращения антенны амплитуда зондирующих импульсов, облучающих цель, изменяется в соответствии с диаграммой направленности. Таким образом, зондирующий сигнал, облучающий цель, оказывается модулированным и описывается функцией времени

(16)

где s П ( t ) – радиоимпульсы передатчика.

Предположим, что цель практически не изменяет длительность отраженных импульсов, а также движением цели за время облучения можно пренебречь. Тогда отраженный сигнал характеризуется функцией:

(17)

где k – постоянный коэффициент.

Для одно-антенной РЛС, у которой диаграмма направленности антенны при приеме описывается той же функцией FE ( t ) , что и при передаче, сигнал на входе приемника записывается в виде:

(18)

Т.к. скорость вращения антенны сравнительно невелика и смещение луча за время запаздывания гораздо меньше, чем ширина диаграммы направленности, то FE ( t )≈ FE ( t t З ) . Кроме того, функция, характеризующая диаграмму направленности по мощности:

(19)

где β – угол, отсчитываемый в одну сторону от максимума до азимута цели, град;

Θ0,5 – ширина диаграммы направленности по половинной мощности, отсчитываемая в обе стороны от максимума (рисунок 2.3), град.

С учетом сказанного (17) можно представить в виде:

(20)

т.е. импульсы на входе приемника оказываются промодулированными по амплитуде в соответствии с диаграммой направленности антенны по мощности.

Азимут цели определяется по параметрам датчика преобразователя угол-код (рисунок 2.4).

Рисунок 2.4 – Схема включения датчика преобразователя угол-код

При вращении антенны сигналы от фото излучателя фиксируются фото приемником после прохождения сигналов через отверстия в пластине, размещенной на оси антенны. Сигналы от фотоприемника передаются на счетчик, который формирует импульсы, называемые импульсами МАИ (малые азимутные интервалы). Угол поворота антенны, а, следовательно, и азимут принимаемого радиолокационного сигнала определяется по импульсам МАИ. Количество МАИ совпадает с коэффициентом пересчета счетчика и определяет, с какой точностью производится измерение азимута.

Исходя из вышесказанного, антенный модуль характеризуется следующими параметрами: форма диаграммы направленности и ее ширина, коэффициент усиления антенны, количество МАИ.

2.2.2 Математическая модель передающего устройства

Передающее устройство можно характеризовать мощностью излучения, количеством и типом зондирующих сигналов и законом их расстановки.

Дальность действия РЛС в случае оптимальной обработки сигнала и заданной спектральной плотности шума зависит от энергии зондирующего сигнала независимо от его формы /5/. Учитывая, что предельные мощности электронных приборов и антенно-фидерных устройств ограничены, увеличение дальности неизбежно связано с повышением длительности импульсов, т.е. со снижением потенциальной разрешающей способности по дальности.

Сложные или энергоемкие сигналы позволяют разрешать противоречивые требования повышения дальности обнаружения и разрешающей способности. Дальность обнаружения повышается при использовании сигналов с большой энергией. Увеличение энергии возможно за счет увеличения либо мощности, либо длительности сигнала. Мощность в РЛС ограничена сверху возможностями генератора радиочастоты и особенно электрической прочностью фидерных линий, соединяющих этот генератор с антенной. Следовательно, проще повышать энергию сигнала за счет увеличения длительности сигнала. Однако сигналы большой длительности не обладают хорошим разрешением по дальности. Сложные сигналы с большой базой могут разрешить эти противоречия /7/. В настоящее время широко используются частотно-модулированные (ЧМ) сигналы, как одна из разновидностей сложных сигналов.

Все множество ЧМ сигналов можно описать при помощи формулы:

(21)

где T – длительность импульса, с;

t – время, аргумент функции, изменяется в пределах , c;

bk – коэффициенты разложения в ряд фазы сигнала;

f 0 – несущая частота сигнала, Гц.

Действительно, при n = 1 получим линейно-частотно-модулированный (ЛЧМ) сигнал, у которого коэффициент b 0 – база сигнала – может быть найден как:

(22)

где Δ f – девиация частоты ЛЧМ сигнала, Гц.

Если взять n = 1 и девиацию частоты Δ f = 0 Гц, то получим сигнал МОНО или видеоимпульс с прямоугольной огибающей, который также широко применяемый в радиолокации для обнаружения целей на небольших расстояниях.

Другим способом повышения энергии сигнала при сохранении небольшой длительности импульсов является использование пачек импульсов, т.е. ряд импульсов, разделенных межимпульсными интервалами, рассматривается как единый сигнал. В этом случае энергия сигнала рассчитывается как сумма энергий всех импульсов /7/.

2.2.3 Математическая модель приемного устройства

Основное назначение приемного устройства – прием сигнала от антенного модуля, его первичная обработка (усиление, перевод на промежуточную частоту, фильтрация, сравнение с исходным сигналом и т.д.), оцифровка сигнала для его дальнейшей обработки. Последнее представляет наибольший интерес, т.к. именно здесь входной сигнал искажается сильнее всего, поэтому одной из важнейших характеристик приемника для цифровых систем являются характеристики АЦП приемника. Обычно для АЦП выделяют такие параметры как число уровней квантования и частоту дискретизации аналогового сигнала.

Прием радиолокационных сигналов обычно производится на фоне помех, которые маскируют сигналы и искажают их параметры. Наиболее распространенным видом помех являются внутренние шумы приемника и шумы внешних источников, которые суммируются с принимаемым радиолокационным сигналом (аддитивные шумы). Эти шумы появляются в приемной антенне за счет наводок или создаются во входных элементах приемного устройства за счет теплового движения электронов в элементах сопротивления, дробового эффекта в электронных приборах и т.п. /4/

Наличие шума в радиолокационном приемнике будет всегда мешать точной оценки временного и частотного положения отраженного сигнала. В наилучшем случае значения параметров, которые могут быть получены при измерении сигнала (в присутствии шума), представляют собой лишь оценки этих параметров. Для оценки точности измерения параметров применяется функция правдоподобия /3/.

Шум характеризуется равномерным распределением мощности по спектру практически от нулевых частот до некоторого достаточно большого значения граничной частоты. При описании статистических свойств шума часто пользуются идеализированной моделью так называемого белого шума, спектральная плотность которого постоянна во всем диапазоне частот. Распределение вероятностей напряжения шума является нормальным с нулевым средним значением и дисперсией σШ 2 /8/:

(23)

Из (23) видно, что для моделирования шума необходимо знать только его среднеквадратичное отклонение.

2.3 Разработка связей между моделями

Все вышеперечисленные параметры и характеристики модулей РЛС учитываются в одной формуле – основном законе радиолокации /1, 4/.

Радиолокационное наблюдение целей, т.е. их обнаружение, измерение параметров движения и оценка свойственных им физических характеристик, возможно, если мощность сигнала цели на входе приемника не меньше некоторого порогового уровня мощности. Можно оценить принимаемую мощность сигнала.

Пусть в некоторой точке пространства на расстоянии D от радиолокационной станции находится цель с эффективной площадью рассеяния S 0 . Излучаемая передающей антенной РЛС электромагнитная волна на достаточно большом удалении имеет сферический фронт, ограниченный пределами диаграммы направленности. На этом основании плотность потока мощности прямой электромагнитной волны у цели:

(24)

где P ИЗЛ – излучаемая антенной мощность, Вт;

– коэффициент направленного действия передающей антенны, 1/м2 .

Если учесть потери в антенне, то можно от излучаемой мощности перейти к мощности передатчика:

(25)

Вводя коэффициент усиления антенны, равный

(26)

получаем (27)

Если бы на месте цели находилась приемная антенна с эффективной площадью A , то на вход приемника поступала бы мощность:

(28)

Плотность потока мощности у РЛС можно оценить как:

(29)

Отсюда мощность на входе приемника РЛС:

(30)

Полагая, что используется одно-антенная РЛС, воспользуемся соотношением:

(31)

Откуда (32)

где λ – длина волны зондирующего сигнала, м.

Полученное уравнение является уравнением радиолокации в свободном пространстве. Оно показывает зависимость мощности отраженного сигнала от параметров станции, характера цели и ее удаленности от РЛС.

В реальной обстановке мощность принимаемого радиолокационного сигнала уменьшается из-за потерь в различных модулях РЛС: потерь в приемном тракте РЛС, потерь при несогласованной фильтрации и т.д., поэтому (32) примет вид:

(33)

где η – суммарные потери мощности, %.


3 Разработка программного комплекса

3.1 Разработка структуры программного комплекса

Исходя из анализа технического задания и требований к программному продукту, была разработана следующая структура программного комплекса (рисунок 3.1).

Рисунок 3.1 – Структура программного комплекса

Основной модуль или ядро является главным в структуре программного комплекса. Модуль обрабатывает осведомительные сигналы и данные от остальных модулей, вырабатывает управляющие сигналы для других модулей и руководит работой программного комплекса в целом.

Модуль интерфейса с пользователем организует диалог пользователя с программным комплексом. В зависимости от действий пользователя модуль передает осведомительные сигналы основному модулю, а в зависимости от управляющих сигналов от основного модуля, выводит конкретную информацию пользователю. Модуль осуществляет контроль над действиями пользователя, ограничивая диапазон допустимых символов при вводе информации с клавиатуры.

Модуль моделирования радиолокационной обстановки предназначен для расчета параметров радиолокационной обстановки на основе данных, введенных пользователем. Данные передаются от основного модуля. После расчета данных производится их запись в файл оговоренного формата (таблица А.1).

В случае обнаружения ошибки при моделировании или возникновении исключительной ситуации происходит остановка процесса моделирования, основному модулю передается сигнал с указанием кода ошибки, на основе которого модуль интерфейса с пользователем формирует сообщение об остановке процесса моделирования с указанием причины, вызвавшей остановку. Если процесс моделирования заканчивается нормальным образом, то управление также передается основному модулю, но сообщение пользователю гласит о нормальном окончании процесса моделирования.

Модуль организации обмена с внешними приложениями организует прием и передачу информации во внешние приложения на основе выбранной модели взаимодействия.

Модуль обмена данными с аппаратными ресурсами служит для передачи данных в устройства обработки радиолокационной информации, реализованные аппаратно, на основе установленных драйверов. После окончания загрузки данных или возникновении ошибки в ходе загрузки основному модулю также передается сообщение с указанием причины, вызвавшей остановку, или сообщение о нормальном завершении процесса загрузки, которое также отображается модулем интерфейса с пользователем.

В рамках дипломного проекта были реализованы модуль интерфейса с пользователем, модуль моделирования радиолокационной обстановки и модуль организации обмена данными с внешними приложениями. Доработку программного комплекса предполагается произвести в более поздние сроки, после окончания дипломирования. Разработанный программный комплекс может быть использован по назначению и уже в существующем виде, используя другие программные продукты для загрузки данных в устройства обработки радиолокационной информации.

3.2 Разработка алгоритмов работы программного комплекса

3.2.1 Общий алгоритм работы программного комплекса

Исходя из анализа технического задания и списка функций, которые необходимо реализовать, был разработан следующий укрупненная схема алгоритма работы программного комплекса (рисунок 3.2).

Поскольку программный комплекс разрабатывается для работы в операционной системе Microsoft Windows 9x, для которой предпочтительнее использовать событийно-ориентированное программирование, то схема работы программы представляет собой замкнутый цикл, на каждом проходе которого происходит проверка действий пользователя и генерация на них ответного действия. Цикл продолжается до тех пор, пока пользователь не активизирует один из управляющих элементов по закрытию программы.

При инициализации приложения или при выборе пользователем определенного элемента управления происходит очистка всех внутренних массивов и списков, сброс всех признаков и т.д.


Рисунок 3.2 – Укрупненная схема работы программы. Лист 1


Рисунок 3.2 – Лист 2


Рисунок 3.2 – Лист 3


Рисунок 3.2 – Лист 4

Исходные данные для моделирования могут быть либо загружены из ранее сохраненного файла или введены вручную. В первом случае данные считываются из файла, выставляются признаки ввода данных, и пользователь может пропустить некоторые шаги задания параметров, переходя непосредственно к моделированию радиолокационной обстановки, если введены все необходимые параметры.

Данные, считанные из файла, могут быть изменены или введены новые параметры путем активизации соответствующих форм. Порядок ввода параметров может быть любым. Единственное ограничение состоит в том, что в первую очередь необходимо задать параметры зондирующих сигналов, а уже потом выполнить их расстановку.

Введенные данные могут быть сохранены для последующего повторного использования в специальном файле, имя и размещение которого задается пользователем.

После задания всех параметров становится возможным моделирование радиолокационной обстановки, после окончания которой пользователь сможет просмотреть результат при помощи одной из утилит или загрузить данные в реальное устройство обработки радиолокационной информации.


3.2.2 Разработка алгоритма реализации математических моделей

Как уже было сказано выше, программный комплекс реализует имитационное моделирование, основанное на математических моделях атомарных объектов. В качестве одного из атомарных объектов была взята точечная цель. Любой распределенный радиолокационный объект или групповой излучатель может быть представлен как совокупность таких точечных излучателей, распределенных внутри искомого объекта по некоторому закону. Параметры точечных излучателей определяются по параметрам искомого объекта и выбранной математической модели.

Для унификации и упрощения расчетов перед началом моделирования необходимо все распределенные объекты разбить на точечные излучатели, определив для каждого излучателя ЭПР и местоположение в пространстве в соответствии с выбранной моделью.

Все полученные данные необходимо свести в один массив, элементы которого, программные объекты – точечные излучатели, могут использоваться для расчета принимаемого РЛС радиолокационного сигнала. Полученный массив можно отсортировать по возрастанию азимутов точечных излучателей с тем, чтобы ускорить процесс моделирования при определении облучается ли точечный излучатель зондирующим сигналом (см. ниже).

Укрупненная схема описанного процесса приведена на рисунке 3.3.

После подготовки всех радиолокационных объектов необходимо аналогичную операцию произвести с зондирующими сигналами – необходимо разбить их на отдельные импульсы, определить для каждого импульса момент запуска и интервал от запуска предыдущего импульса, свести данные в массив импульсов, отсортировать массив по времени запуска отдельных импульсов. Далее необходимо определить время моделирования – время задается пользователем либо в явном виде, либо пользователь задает количество отсчетов по азимуту в малых азимутных интервалах (МАИ). Во втором случае необходимо


Рисунок 3.3 – Укрупненная схема процесса подготовки к моделированию


произвести пересчет введенного значения в секунды, воспользовавшись формулой:

(34)

где N МОД – количество отсчетов по азимуту в МАИ для моделирования;

N ОБ – количество МАИ за полный оборот антенны;

ТВР – период вращения антенны, с.

После определения времени моделирования процесс моделирования можно описать как цикл, который выполняется до тех пор, пока значение переменной содержащей время, прошедшее от начала моделирования, не превысит времени моделирования, определенного ранее. Шаг между двумя итерациями цикла равен интервалу между моментами запуска двух соседних импульсов (двумя соседними элементами массива импульсов).

На каждом проходе цикла пакет данных с отсчетами по дальности заполняется белым шумом, на который накладывается отраженный сигнал, который определяется как сумма отражений от всех точечных излучателей, облучаемых импульсом, пришедшим в данный момент.

Отраженный сигнал рассчитывается как совокупность мгновенный значений амплитуды сигнала, т.е. первоначально определяется действующее значение амплитуды отраженного сигнала, затем фаза сигнала и мгновенное значение амплитуды сигнала. Полученные мгновенные значения амплитуды отраженного сигнала записываются по отсчетам дальности данного пакета (таблица А.1).

Решение о том, будет ли облучен данный точечный излучатель или нет, применяется после анализа функции диаграммы направленности по азимуту (19). Если значение функции меньше 0,01, то считается, что точечный излучатель не облучается данным импульсом. В противном случае для данного точечного излучателя будет рассчитан отраженный сигнал.

После расчета данных полученный пакет записывается в файл.

Укрупненные блок-схемы на рисунках 3.4 и 3.5 иллюстрируют вышесказанное.

3.3 Программная реализация математических моделей

После разработки алгоритмов реализации математических моделей можно сделать вывод о том, что для программной реализации разработанных моделей наиболее подходит объектно-ориентированный подход, все достоинства которого широко известны /9/.

При использовании объектно-ориентированного подхода реализация математических моделей будет сведена к реализации методов и атрибутов (свойств) для программных объектов. В качестве атрибутов (свойств) программных объектов будут выступать параметры реальных объектов, выделенные при разработке математического обеспечения.

В качестве базовых программных объектов можно взять объекты, которые описывают следующие реальные объекты: радиолокационный объект или цель, зондирующий сигнал и РЛС в целом.


Рисунок 3.4 – Укрупненная схема алгоритма процесса моделирования


Рисунок 3.5 – Укрупненная схема подпрограммы расчета отраженного сигнала


Однотипные объекты лучше объединить в массивы для унификации доступа. Массивы обязательно должны быть динамическими, поскольку количество элементов, а, следовательно, и размер памяти, занимаемый массивом, может меняться.

Заголовочный файл на языке C++, содержащий описание программных объектов, приведен в приложении Б.

3.4 Разработка интерфейса пользователя

3.4.1 Выбор среды разработки

Поскольку разрабатываемый программный комплекс разрабатывается для работы под управлением операционной системы Windows 9x, то для удобства разработки и последующей эксплуатации лучше воспользоваться одним из средств RAD, использующих событийно-ориентированное программирование. В качестве такой системы был выбран продукт C++ Builder фирмы Borland. Программный продукт обладает всеми достоинствами RAD системы, позволяет использовать преимущества языка программирования C++ и создавать серьезные приложения для работы в операционной системе Windows 9x.

Программный продукт C++ Builder позволяет создавать приложения, основным интерфейсным элементом которых является форма – стандартный элемент управления Windows «окно» с уже определенным стилем. Разрабатываемое приложение проектируется как совокупность таких форм, на которых располагаются остальные элементы управления.

3.4.2 Описание интерфейса пользователя

При инициализации приложения отображается форма (рисунок 3.6), где сосредоточены основные элементы управления. Через эту форму можно получить доступ ко всем функциям разрабатываемого программного комплекса.


Рисунок 3.6 – Главная форма приложения

По умолчанию, некоторые элементы управления заблокированы и становятся доступны пользователю после ввода определенных параметров или выполнения определенных действий. Все элементы управления, выведенные на панель управления, продублированы в главном меню приложения и снабжены всплывающими подсказками (hints), которые также отображаются в строке состояния.

На главной форме приложения сосредоточены следующие элементы управления:

1 – создание нового файла, после активизации элемента управления очищаются все внутренние структуры хранения данных, вся, не сохраненная информация, стирается;

2 – открытие файла, после активизации элемента управления появляется диалог открытия файла, где пользователь может выбрать имя файла с ранее сохраненными параметрами. Данные из выбранного файла загружаются во внутренние структуры и отображаются в элементах 13, 14, 16;

3 – сохранение в файл, после активизации элемента управления появляется диалог сохранения файла, где пользователь может выбрать имя уже существующего файла или задать имя нового файла. Введенные данные будут сохранены в выбранный файл. Если файл не существует, то он будет создан;

4 – задание параметров зондирующих сигналов, после активизации элемента управления отображается форма, на которой пользователь сможет задать параметры зондирующих сигналов (рисунок 3.7). Список параметров соответствует разработанным моделям. После задания параметров сигнала становится возможным просмотр общего вида сигнала и его спектра. Изображения внешнего вида сигнала и его спектра могут быть скопированы в буфер обмена или сохранены в файл в формате EMF;

Рисунок 3.7 – Форма для задания параметров зондирующего сигнала


5 – расстановка зондирующих сигналов, после активизации элемента управления появляется форма, на которой пользователь может задать порядок запуска зондирующих сигналов и интервалы между запусками;

6 – параметры РЛС, после активизации элемента управления появляется форма, где пользователь задает параметры конкретной РЛС. Для удобства пользователя параметры объединены в группы, характеризующие какой-либо модуль РЛС;

7 – активизация процесса моделирования, после активизации элемента управления появляется форма, где пользователь задает параметры моделирования – время моделирования или число отсчетов по азимуту, имя выходного файла. После подтверждения ввода параметров начинается процесс моделирования, ход которого отображается в виде индикатора, показывающего процент выполнения. Процесс моделирования можно прервать, воспользовавшись кнопкой «Отмена». После окончания моделирования выдается сообщение о нормальном окончании процесса или сообщение с указанием ошибки и способом ее устранения;

8 – просмотр полученного файла при помощи программы Viewer, полученный в процессе моделирования файл может быть просмотрен при помощи данной программы. Программа будет вызвана и в нее будет загружен искомый файл автоматически;

9 – загрузка файл в устройство обработки радиолокационной информации;

10 – вызов мастера, который позволяет автоматизировать последовательность ввода параметров и получения выходного файла (рисунок 3.8). Окно мастера содержит текст, поясняющий, что произойдет при выполнении конкретного действия, выполнение которого может быть запущено как при помощи соответствующего элемента управления на форме мастера, так и на главной форме приложения. Имеется возможность пропуска текущего действия и возврата к предыдущему действию. После выполнения операции мастер автоматически переходит к следующему пункту. При активизации соответствующей опции на форме мастера, последний будет загружаться всякий раз при активизации приложения. Данные о настройках пользователя сохраняются в ini-файле;

Рисунок 3.8 – Использование мастера при работе с программным комплексом

11 – вызов встроенной справки по программе. Справка также может быть вызвана в любой момент и для любой формы, путем нажатия клавиши «F1» на клавиатуре;

12 – краткие сведения о программе, отображаются сведения о параметрах компьютера и краткая информация о программе;

13 – информационная панель, содержит сведения о том, какие параметры введены, а какие нет. После задания соответствующих параметров красная надпись «Не заданы» изменяется на синию надпись «Готово»;

14 – список всех заданных радиолокационных объектов, указывается номер объекта или его имя и его тип. Введенные объекты отображаются на модели экрана радара 16;

15 – элементы управления списком радиолокационных объектов, облегчающие навигацию по списку и управление самим списком радиолокационных объектов. После нажатия на кнопку добавления нового объекта будет активизирована форма, аналогичная представленной на рисунке 3.9. На данной форме собраны все параметры, необходимые для моделирования радиолокационного объекта, имеется возможность задания «рельефа» для группы местников и программы движения в графической форме. Все элементы управления снабжены подсказками, которые отображаются на форме задания параметров;

16 – модель экрана радара, после ввода нового радиолокационного объекта он отображается на модели в соответствии с введенными координатами. Цветовая гамма отображения (цвет фона, линий и т.д.) может быть настроена пользователем при помощи соответствующей команды в главном меню. Все настройки автоматически сохраняются в ini-файле после закрытия приложения.

Рекомендуемый порядок работы с программным комплексом совпадает с алгоритмом, приведенным на рисунке 3.2. В случае возникновения каких-либо затруднений рекомендуется вызывать встроенную справочную систему или мастера, которые содержат комментарии и пояснения ко всем действиям и этапам получения выходного файла.


Рисунок 3.9 – Задание параметров радиолокационного объекта


4 Технологический раздел

4.1 Постановка задачи

Поскольку реализация дипломного проекта подразумевает разработку только программного продукта, без привязки к аппаратной части, то в качестве задания на данный раздел было предложено разработать техническое задание на программный комплекс, согласно /10, 11/.

4.2 Текст документа

4.2.1 Основание для разработки

4.2.1.1 Основанием для разработки является задание руководителя НТЦ ФГУП ЧРЗ «Полет» Родионова В. В. на разработку программного комплекса для цифрового моделирования радиолокационной обстановки.

4.2.2 Назначение разработки

4.2.2.1 Назначение разрабатываемого программного комплекса – моделирование радиолокационной обстановки на персональном компьютере, создание выходного файла с моделью радиолокационной обстановки, использование полученного файла для проверки реальных устройств обработки информации.

4.2.3 Область применения

4.2.3.1 Планируется применение разрабатываемой системы для проведения испытаний, проверки и настройки опытных образцов схем и устройств непосредственно на месте разработки, без выезда на испытательный полигон.

4.2.3.2 Данная система также может применяться как макет для обучения студентов радиотехнических специальностей вузов основам радиолокации и последующей обработки информации.

4.2.4 Требования к программному изделию

4.2.4.1 Программный комплекс должен реализовывать следующие функции:

1. Функция организации интерфейса с пользователем. Программный комплекс в рамках организации интерфейса с пользователем должен реализовывать следующие функции:

функция модификации данных – необходимо организовать ввод пользователем параметров, характеризующих конкретную РЛС и радиолокационную обстановку. Необходимо проверять вводимые данные на непротиворечивость и корректность. При разработке следует учесть, что с программным комплексом, возможно, будут работать пользователи, уровень подготовки которых для работы на персональной ЭВМ является невысоким;

функция загрузки и сохранения данных – необходимо обеспечить возможность сохранения введенных пользователем данных и их последующую загрузку из типизированных файлов;

функция формирования выходного файла – по результатам моделирования необходимо сформировать выходной файл, который может использоваться для проверки реальных устройств обработки сигналов.

2. Функция организации обмена данными с цифровыми устройствами обработки сигналов – необходимо организовать загрузку данных из файлов с радиолокационной обстановкой в реальные устройства обработки сигналов. Программный комплекс в рамках организации обмена данными с цифровыми устройствами обработки сигналов должен реализовывать следующие функции:

функция определения доступных аппаратных ресурсов – при инициализации программного комплекса необходимо произвести определение доступных аппаратных ресурсов, поиск драйверов для данных устройств и их инициализацию;

функция обмена данными с доступными аппаратными ресурсами – непосредственно организация обмена данными со специализированным устройством под управлением ранее выбранного драйвера.

3. Организация обмена данными с внешними приложениями – необходимо организовать обмен данными с другими приложениями в виде графической информации и информации, которая может быть использована для организации процесса моделирования, самими результатами моделирования.

4. Моделирование радиолокационной обстановки – по введенным пользователем данным, необходимо произвести расчет сигналов, образующих радиолокационную обстановку.

4.2.4.2 Набор входных параметров, вводимых пользователем, определяется разработчиком и согласовывается с руководителем разработки. При первоначальном запуске приложений параметры должны иметь значения по умолчанию, соответствующих реальным значениям.

4.2.4.3 Выходной файл, получаемый при моделировании, должен соответствовать формату, приведенному в приложении А.

4.2.4.4 Программный комплекс должен корректно обрабатывать все исключительные ситуации и выдавать сообщения об ошибке с указанием ее типа, причин возникновения и способов устранения.

4.2.4.5 Необходимо проверять все вводимые пользователем данные на непротиворечивость и корректность. При вводе следует ограничивать набор вводимых пользователем символов для недопущения ввода некорректных значений и сокращения числа выдаваемых сообщений об ошибке в случае неправильного ввода.

4.2.4.6 Программный комплекс должен корректно функционировать под управлением операционной системы Windows 9x и выше.

4.2.4.7 Программный комплекс должен иметь возможность обмена графической и иной информацией с приложениями, входящими в состав пакета Microsoft Office, а также приложениями, разработанными сотрудниками НТЦ для анализа полученной радиолокационной информации (Viewer 1.16, WRadar 2.0).

4.2.4.8 Программный комплекс должен моделировать следующие классы радиолокационных объектов: точечная цель, протяженная помеха, помеха, получаемая при отражении от земной поверхности (местные объекты), для чего должны быть разработаны соответствующие математические модели.

4.2.4.9 Программный комплекс должен использовать сложившуюся и общепринятую терминологию для данного класса задач.

4.2.4.10 Необходимо обеспечить сохранение и последующее восстановление индивидуальных параметров интерфейса пользователя.

4.2.5 Требования к программной документации

4.2.5.1 После окончания разработки необходимо разработать следующую программную документацию, согласно требованиям установленным в ЕСПД:

– текст программы;

– описание программы;

– руководство оператора.

4.2.6 Технико-экономические показатели

4.2.6.1 Общая стоимость разработки не должна превышать 50 тыс. руб., при сроках разработки 3 календарных месяца.

4.2.7 Стадии и этапы разработки

4.2.7.1 При разработке программного комплекса необходимо соблюдать последовательность, указанную в ГОСТ 34.601-90. Сроки выполнения работ необходимо соблюдать в соответствии с разработанным сетевым графиком (раздел 6.1).

4.2.7.2 После окончания разработки необходимо предоставить заказчику тексты разрабатываемого программного комплекса на исходном языке с соответствующими пояснениями и комментариями.

4.2.7.3 Разработка производится за счет заказчика.

4.2.7.4 В настоящее техническое задание могут вноситься изменения, уточнения и дополнения до предъявления изделия на приемочные испытания в соответствии с требованиями ГОСТ В 15.201-83.


5 Вопросы безопасности жизнедеятельности

В процессе работы по данной теме приходилось иметь дело с опасными и вредными производственными факторами физической группы /12/.

5.1 Анализ опасных и вредных производственных факторов

5.1.1 Опасные производственные факторы

5.1.1.1 Поражение электрическим током. Причины возникновения. Помещение относится к категории помещений без повышенной опасности поражения электрическим током. Физический доступ к токоведущим частям оборудования максимально затруднен для оператора. В этих условиях основной причиной возникновения данного опасного фактора является прикосновение к металлическим нетоковедущим частям (например - корпусу ПЭВМ), которые могут оказаться под напряжением в результате повреждения изоляции.

Характеристика воздействия. Электрический ток оказывает на организм термическое, биологическое, механическое, электролитическое воздействие. Воздействие может привести к двум видам поражения – местным (электротравмам) и общим (электроударам).

5.1.1.2 Возникновение пожара

Причины возникновения. В современных ПЭВМ очень высока плотность размещения элементов электронных схем. В непосредственной близости друг от друга располагаются соединительные провода, коммуникационные кабели. При протекании по ним электрического тока выделяется значительное количество теплоты, что может привести к повышению температуры отдельных узлов до 80 – 1000 С. При этом возможно оплавление изоляции соединительных проводов, их оголение, и, как следствие, короткое замыкание, сопровождаемое искрением, которое ведет к недопустимым перегрузкам элементов электронных схем. Они, перегреваясь, сгорают, разбрызгивая искры.

Кроме того, причиной возникновения пожара может стать неисправность электрических цепей, неисправность токовой защиты, неосторожное обращение с огнем, возгорание в соседних помещениях могут привести к пожару в данном помещении.

Характеристика воздействия. Огонь оказывает на организм человека термическое воздействие, представляющее собой угрозу как для здоровья, так и для жизни человека.

5.1.2 Вредные производственные факторы

5.1.2.1 Неблагоприятный микроклимат помещения. Причины возникновения. Неоптимальные условия: повышенная или пониженная температура, повышенная или пониженная влажность, ветер.

Характеристика воздействия. Повышенная температура и низкая влажность могут вызывать раздражение кожи у человека. Пониженная температура приводит к переохлаждению организма. Этому способствует, так же, высокая скорость движения ветра (при сквозняке) в помещении, являющаяся причиной интенсивного испарения влаги с поверхности организма и, соответственно, переохлаждения. Повышенная влажность затрудняет потоотделение, а пониженная – приводит к сухости в дыхательных путях и затрудняет дыхание.

Воздух, влажностью 15-20% высушивает изоляцию проводов так, что уже через 3-4 года она может растрескаться, что может привести к возгоранию.

5.1.2.2 Нерациональное освещение. Причины возникновения. Несоответствие естественного и искусственного освещения установленным нормам /13/.

Характеристика воздействия. Слабое освещение при любых видах работ приводит к напряжению глаз, что при длительном воздействии влечет ухудшение зрения.

5.1.2.3 Электромагнитное излучение. Причины возникновения. В данном помещении источником электромагнитного излучения является монитор компьютера.

Характеристика воздействия. В случае нахождения источника излучения в непосредственной близости от человека, возможны патологические изменения в органах зрения, нарушение обмена веществ. Если наибольшая спектральная плотность излучения находится в рентгеновском диапазоне, то, при длительном воздействии, возможны генетические мутации.

5.1.2.4 Шум. Причины возникновения. В данном помещении основным источником шума является вентилятор в блоке питания ПЭВМ.

Характеристика воздействия. Воздействие шума отражается как на органах слуха, так и на общем психическом состоянии человека.

5.1.2.5 Несоответствие эргономических показателей установленным нормам. Кроме внешних факторов, на человека в процессе производства влияют, так же, факторы производственной среды. Факторами производственной среды являются:

– санитарно-гигиеническая обстановка, определяющая внешнюю среду в рабочей зоне, как результат воздействия применяемого оборудования, технологических процессов;

– психофизические элементы, которые обусловлены самим процессом труда: рабочая поза, физическая нагрузка, нервно-психологическое напряжение;

– эстетические элементы: оформление производственного помещения, оборудования, рабочего места, рабочего инструмента;

– социально-психологические элементы, составляющие характеристику психологического климата.


5.2 Мероприятия по безопасности при работе с дисплейной техникой

5.2.1 Электробезопасность

Специфическая опасность электроустановок в следующем: токоведущие проводники, корпуса ПЭВМ и прочего оборудования, оказавшегося под напряжением в результате повреждения изоляции, не подают каких-либо сигналов, которые предупреждали бы об опасности. Реакция человека на электрический ток возникает лишь при протекании тока через тело.

Полная электробезопасность возможна, если наряду с предписанными правилами технической эксплуатации электроустановок (ПТЭ) потребителей используют технические средства защиты, к которым относят:

– электрическую изоляцию токоведущих частей;

– выравнивание потенциалов;

– защитное отключение;

– малое напряжение;

– двойную изоляцию;

– защитное заземление;

– зануление.

Использование этих средств в различных сочетаниях обеспечивает защиту людей от прикосновения к токоведущим частям, от опасности перехода напряжения на металлические нетоковедущие части. Наиболее часто используется заземление и зануление.

Защитное заземление или зануление должно обеспечить защиту людей от поражения электрическим током при прикосновении к металлическим нетоковедущим частям, которые могут оказаться под напряжением в результате повреждения изоляции.

Защитное заземление следует выполнять преднамеренным соединением металлических частей электроустановок с «землей» или ее эквивалентом.

Зануление следует выполнять электрическим соединением металлических частей электроустановок с заземленной точкой источника питания электроэнергией при помощи нулевого защитного проводника.

Для обеспечения защиты от поражения электрическим током в электросетях с напряжением до 1000 В при прикосновении к металлическим нетоковедущим частям, которые могут оказаться под напряжением в результате повреждения изоляции, т.к. защитное заземление не обеспечивает достаточно надежную и полную защиту /14/. Зануление должно обеспечивать быстрое автоматическое отключение поврежденной установки от сети или снижения напряжения на нетоковедущих частях, оказавшиеся под напряжением.

Рассчитаем номинальный ток устройства максимальной токовой защиты:

(35)

где kT – коэффициент кратности тока короткого замыкания по отношению к номинальному току устройств максимальной токовой защиты;

I КЗ – ток короткого замыкания, который может быть найден по формуле:

(36)

где R – активное сопротивление, которое состоит из суммы активного сопротивления токоведущего провода RT , нулевого защитного провода R И и активного сопротивления трансформатора мощностью 400 кВт – 0,01 Ом.

Сопротивление может быть найдено по формуле:

(37)

где q – удельное сопротивление проводника, Ом·м;

S – площадь поперечного сечения провода, м2 ;

l – длина проводника, м.

Для токоведущего провода RT = 0,29 Ом, для нулевого защитного провода R И = 0,15 Ом, следовательно, ток короткого замыкания составит 435 А. при коэффициенте кратности тока короткого замыкания к номинальному току kT = 1,30, номинальный ток должен быть не менее 335 А.

Максимальное значение напряжения на корпусе по отношению к земле не должно превышать допустимого напряжения прикосновения:

, (38)

которое составит 65,25 В.

В соответствии с /15/, допустимое напряжение прикосновения не должно превышать 75 В при продолжительности воздействия 0,70 с. Этой продолжительности достаточно для срабатывания устройства максимальной токовой защиты.

5.2.2 Пожаробезопасность

Данное помещение относится, в соответствии с /16/, к категории «В» – горючие и трудно горючие помещения, в которых в обращении находятся жидкости, твердые горючие (пластиковые корпуса компьютеров IBM PC, деревянные столы, линолеум) и трудно горючие вещества (изоляция соединительных и силовых кабелей) и материалы, способные гореть только при взаимодействии с кислородом или друг с другом, при условии, что помещение, в которых они имеются, не относится к категории «А» или «Б». Здание, в котором находится помещение, выполнено из железобетона.

Противопожарные мероприятия:

– для отопления помещения использовать только центральное водяное отопление;

– двери в помещение выполнены из ДВП, пропитанного огнестойким составом;

– для хранения магнитных носителей использовать несгораемый металлический шкаф.

Дополнительные организационные меры:

– запрет на курение в данном помещении;

– установка в помещении телефонного аппарата для быстрого вызова пожарной службы

– физическая доступность (отсутствие загромождения) розеток для ручного отключения питания ПЭВМ.

5.2.3 Микроклиматические параметры

Микроклиматические параметры производственной среды — это сочетание температуры, относительной влажности и скорости движения воздуха.

Эти параметры в значительной степени влияют на функциональную деятельность человека, его самочувствие, здоровье, а также на надежность работы вычислительной техники. Причем в производственных условиях характерно суммарное действие микроклиматических параметров.

Большое влияние на микроклимат в помещениях оказывают источники теплоты – это вычислительное оборудование, приборы освещения, обслуживающий персонал, а также солнечная радиация. Причем наибольшие суммарные тепловыделения среди помещений имеют машинные залы, а в них основным тепловыделяющим оборудованием являются ЭВМ, которые дают в среднем до 80% суммарных тепловыделений. От приборов освещения тепловыделения составляют в среднем 12%, от обслуживающего персонала – 1%, от солнечной радиации – 6%. Приток теплоты через непрозрачные ограждающие конструкции составляет 1%.

На организм человека и работу оборудования большое влияние оказывает относительная влажность воздуха. При влажности воздуха до 40% становится хрупкой основа магнитной ленты, повышается износ магнитных головок, выходит из строя изоляция проводов, а также возникает статическое электричество при движении носителей информации в ЭВМ.

С целью создания нормальных условий для персонала установлены нормы производственного микроклимата.

В производственных помещениях, в которых работа на ВДТ и ПЭВМ является основной, согласно /13/, должны обеспечиваться оптимальные параметры микроклимата (таблица 5.1.).

Таблица 5.1 – Оптимальные нормы микроклимата для помещений с ПЭВМ

Период

года

Категория

работ

Температура воздуха, С0 не более

Относит. Влажность воздуха, %

Скорость движения воздуха, м/с

Холодный

легкая

22…24

40…60

0,10

Теплый

легкая

23…25

40…60

0,10

Данная работа относится к категории работ, производимых сидя и не требующих физического напряжения, при которых расход энергии составляет до 120 ккал/ч.

Уровни положительных и отрицательных аэроионов в воздухе помещений с ВДТ и ПЭВМ, согласно /16/, должны соответствовать нормам, приведенным в таблице 5.2.


Таблица 5.2 – Уровни ионизации воздуха помещений при работе на ВДТ и ПЭВМ

Уровни

Число ионов в 1 см3 воздуха

n+

n-

Минимально необходимые

400

600

Оптимальные

1500…3000

30000…50000

Максимально допустимые

50000

50000

5.2.4 Освещение

Помещения с ВДТ и ПЭВМ должны иметь естественное и искусственное освещение.

Естественное освещение должно осуществляться через светопроемы, ориентированные преимущественно на север и северо-восток и обеспечивать коэффициент естественной освещенности (КЕО) не ниже 1,20% в зонах с устойчивым снежным покровом и не ниже 1,50% на остальной территории /17/. Указанные значения КЕО нормируются для зданий, расположенных в III световом климатическом поясе (Челябинск находится в 3-ем поясе).

5.2.5 Электромагнитное излучение

Допустимые уровни излучения нормируются в соответствии с /18/.

Интенсивность электромагнитного излучения в 5 сантиметрах от экрана составляет до 64 В/м2 , но на расстоянии 30 сантиметров она не превышает 2,40 В/м2 , что меньше допустимого уровня. То же можно сказать о рентгеновском (10 мкБер/ч) излучении и об интенсивности ультрафиолетового и инфракрасного излучения (10 – 100 мВт/м2 ).

ВДТ может применяться также при выполнении следующих условий /18/:

– плотность магнитного потока должна быть не более 250 нТл (в диапазоне частот 5 Гц – 2 кГц), 25 нТл (в диапазоне частот 2 Гц – 400 кГц);

– поверхностный электростатический потенциал не должен превышать 500В.

При несоответствии параметров ВДТ норме рекомендуется применять защитные экраны и напыления или ограничивать время работы с видеотерминалом, или заменить видеотерминал на более совершенный.

Максимальная напряженность на кожухе видеотерминала составляет 3,60 В/м, но на расстоянии, которое отделяет оператора от кожуха, эта величина соответствует фоновому уровню 0,20–0,50 В/м2 . Для достижения соответствия требованиям /18/, оператор должен находится не менее чем в 30 см от видеотерминала.

5.2.6 Шум

При выполнении основной работы на ПЭВМ уровень шума на рабочем месте не должен превышать 50 дБ. Согласно /19/, снизить уровень шума в помещении с ПЭВМ можно использованием звукопоглощающих материалов с максимальными коэффициентами звукопоглощения в области частот 63…8000 Гц для отделки помещений (разрешенных органами и учреждениями Госсанэпиднадзора России), подтвержденных специальными акустическими расчетами.

5.2.7 Эргономика, производственная эстетика и культура производства

Анализируя санитарно-гигиенические нормы и правила работы с вычислительной техникой, можно составить ряд рекомендаций по оптимизации труда операторов ПЭВМ. Основными направлениями оптимизации являются:

– рациональное размещение техники в помещении, учет освещенности и удобства организации рабочего места;

– внимание к организации режимов труда и отдыха, создание микроклимата в помещении и благоприятного психологического климата в коллективе;

– обязательное соблюдение требований техники безопасности и охраны труда на рабочем месте;

– полное информирование оператора об его правах и обязанностях, о профзаболеваниях и их симптомах, возникающих при нарушении рекомендаций, правил и мер предосторожности.

Выполнение этих рекомендаций позволит повысить работоспособность, предупредить развитие функциональных расстройств, снизить общую заболеваемость.

Работа программиста за дисплеем относится к типу коммуникаций «человек - машина». Персональный компьютер IВМ РС спроектирован с учетом ряда эргономических требований:

– возможность поворота дисплея;

– возможность перемещения клавиатуры;

– малая теплоотдача аппаратуры;

– возможность регулировки яркости изображения;

– кнопка включения аппаратуры расположена в пределах досягаемости

Все это способствует экономии движений оператора, уменьшает утомляемость при работе за дисплеем. Решение дизайна в сером цвете не оказывает раздражения на глаза программиста.

Помещение оборудовано мягкими стульями, что соответствует требованиям /20/. Высота рабочей поверхности и сидения программиста не регулируется, что допускается данным ГОСТом.

Существуют рекомендации ограничения непрерывной работы перед видеотерминалом четырьмя часами при восьмичасовом рабочем дне и объеме информации 30 000 знаков за 4 часа. Рекомендуется делать регулярные перерывы в работе для отдыха, самомассажа, гимнастики рук и глаз (перерыв на 20 минут каждые 2 часа) /21/.

Рабочее место должно быть организовано так, чтобы можно было выполнять работу в нескольких положениях /22/. Необходимо исключить неудобные позы, и регулярно каждый час делать перерывы в работе. Органы управления компьютером (клавиатура, мышь и т.п.) следует расположить так, чтобы руки при их использовании занимали обычные удобные положения. Руки не должны отходить далеко от туловища. Высота стола должна быть такой, чтобы угол в локтевом сгибе приблизительно составлял 90 градусов. Дисплей необходимо расположить так, чтобы обеспечить привычную и удобную для глаз дистанцию. Это соответствует такому уровню, чтобы взгляд, направленный на него шел горизонтально, либо немного отклонялся вниз. Расстояние от глаз до дисплея должно составлять 0,5 метра. Не следует устанавливать излишнюю яркость и контрастность дисплея, т.к. это приводит к повышенной утомляемости глаз.

Кроме организации рабочего места также следует внимательно отнестись к разработке интерфейса пользователя /23/, с целью повышения удобства и комфорта работы пользователя с программным изделием. К достоинствам интерфейса пользователя разработанного программного комплекса как полноценного Windows-приложения можно отнести следующие достоинства:

– использование графического интерфейса как основного способа обмена данными между пользователем и программным комплексом;

– использование всплывающих подсказок, функциональных клавиш, дублирование функций в главном меню и на панели инструментов;

– наличие справочной системы с описанием назначения элементов управления, последовательности действий при вводе параметров, сведений о возможных ошибках и способах их устранения;

– отображение сообщений о возникших ошибках с указанием причины возникновения и способах устранения;

– сохранение и восстановление настроек пользователя в части параметров интерфейса пользователя;

– наличие мастера, который позволяет автоматизировать последовательность ввода параметров и получения выходного файла;

– постоянный контроль над действиями пользователя, ограничение набора вводимых символов.


6 Организационно-экономический раздел

6.1 Сетевое планирование

6.1.1 Составление перечня работ и построение сетевого графика

Первым шагом в построении сетевого графика является создание индивидуального перечня работ дипломного проекта. Заданный комплекс работ упорядочивается в их логической последовательности с выделением отдельных групп работ, которые могут и должны выполняться параллельно.

После анализа технического задания на разработку программного продукта был разработан список работ, приведенный в таблице 6.1, и построен сетевой график, приведенный на рисунке 6.1.

Ожидаемая продолжительность работы рассчитывается по двухоценочной методике, исходя из минимальной и максимальной оценок продолжительности, задаваемых ответственным исполнителем каждой работы /24/. При этом предполагается, что минимальная оценка соответствует наиболее благоприятным условиям работы. Ожидаемая продолжительность каждой работы складывается из 0,6 минимальной и 0,4 максимальной продолжительностей. Рассчитанные значения сведены в таблицу 6.1.



Таблица 6.1 – Перечень, параметры и вероятностные характеристики работ СГ

Код работы

Наименование работы

Продолжительность, дн.

Исполнители, чел.

Среднеквадратичное отклонение, дн.

мин.

макс.

ожид.

рук.

инж.

лаб.

1

2

3

4

5

6

7

8

9

0-1

получение и анализ задания

1

3

2

1

2

0,40

1-2

подбор технической документации

10

14

12

1

0,80

1-3

анализ литературы по БЖД

3

8

5

1

1,00

1-4

обзор существующих инструментальных сред разработки

4

8

6

1

0,80

1-5

составление сетевого графика

6

8

7

1

0,40

2-6

анализ технической документации

7

10

8

1

1

0,60

3-6

разработка мероприятий по БЖД

8

10

9

1

0,40

4-6

выбор инструментальной среды для разработки

7

9

8

1

0,40

5-6

утверждение сетевого графика

5

5

5

1

0,00

6-7

разработка математических моделей

9

13

11

1

1

0,80

6-8

доработка уже существующих моделей

7

11

9

1

1

0,80

7-9

проверка адекватности моделей

5

7

6

1

1

0,40

8-9

согласование моделей с заказчиком

4

5

4

1

1

0,20

9-10

разработка структуры программы

3

7

5

1

1

0,80

9-11

разработка интерфейса

3

5

4

1

1

0,40

9-12

программная реализация математических моделей

14

18

16

1

0,80

10-12

разработка динамических библиотек

5

7

6

1

1

0,40

11-12

программная реализация интерфейса

9

11

10

1

1

0,40

12-13

тестирование программного комплекса

3

10

6

1

1

1,40

12-14

разработка конструкторской документации

7

10

8

2

0,60

12-15

расчет экономических показателей

6

8

7

1

0,40

13-16

доработка программы

10

14

12

2

0,80

14-16

оформление пояснительной записки

14

19

16

1

1

1,00

15-16

оформление графической части

11

12

11

1

0,20

16-17

сдача программного комплекса заказчику

1

1

1

1

2

0,00

17-18

прохождение нормоконтроля

5

7

6

1

1

0,40

18-19

подписание пояснительной записки

1

1

1

1

1

0,00

6.1.2 Расчет параметров сетевого графика

Вторым шагом построения сетевого графика является расчет параметров его событий.

Ранний срок свершения исходного (нулевого) события сетевого графика (СГ) принимается равным нулю. Ранний срок свершения данного промежуточного события рассчитывается путём сравнения сумм, состоящих из раннего срока свершения события, непосредственно предшествующего данному и длительности работы. Так как данное событие не может свершиться, пока не закончится последняя из непосредственно предшествующих ему работ, очевидно, что в качестве раннего срока свершения события принимается максимальная из сравниваемых сумм. Рассчитанный таким способом ранний срок свершения завершающего события принимается в качестве его же позднего срока свершения. Это означает, что завершающее событие СГ никаким резервом времени не располагает.

Поздний срок свершения данного - промежуточного события определяется при просмотре СГ в обратном направлении. Для этого сопоставляются разности между поздним сроком свершения события, непосредственно следующего за данным, и продолжительности работы, соединяющей соответствующее событие с данным. Так как ни одна из непосредственно следующих за данным событием работ не может начаться, пока не свершится само данное событие, очевидно, его поздний срок свершения равен минимуму из подсчитанных разностей.

Правильность расчета поздних сроков свершения событий СГ подтверждается получением нулевого раннего срока свершения исходного события.

Резерв времени образуется у тех событий, для которых поздний срок свершения больше раннего, и он равен их разности. Если же эти сроки равны, событие резервом времени не располагает и, следовательно, лежит на критическом пути. Результаты расчетов сведены в таблицу 6.2.

Таблица 6.2 – Параметры событий сетевого графика

Номер события

Сроки свершения, дн.

Резерв времени, дн.

ранний

поздний

1

2

3

4

0

0

0

0

1

2

2

0

2

14

14

0

3

7

13

6

4

8

14

6

5

9

17

8

6

22

22

0

7

33

33

0

8

31

35

4

9

39

39

0

10

44

49

5

11

43

45

2

12

55

55

0

13

61

67

6

14

63

63

0

15

62

68

6

16

79

79

0

17

80

80

0

18

86

86

0

19

87

87

0

Ранний срок начала работы совпадает с ранним сроком свершения её начального события. Поздний срок начала работы можно получить, если из позднего срока свершения ее конечного события вычесть ее ожидаемую продолжительность.

Ранний срок окончания работы образуется прибавлением её продолжительности к раннему сроку свершения её начального события. Поздний срок окончания работы совпадает с поздним сроком свершения её конечного события.

Для всех работ критического пути, как не имеющих резервов времени, ранний срок начала совпадает с поздним сроком начала, а ранний срок окончания - с поздним сроком окончания. Работы, не лежащие на критическом пути, обладают резервами времени.

Полный резерв времени работы образуется вычитанием из позднего срока свершения конечного события раннего срока свершения её начального события и её ожидаемой продолжительности. Частный резерв времени первого рода равен разности поздних сроков свершения её конечного и начального событий за вычетом её ожидаемой продолжительности. Частный резерв времени второго рода равен разности ранних сроков свершения её конечного и начального событий за вычетом её ожидаемой продолжительности.

Свободный резерв времени работы образуется вычитанием из раннего срока свершения её конечного события позднего срока, свершения её начального события и её ожидаемой продолжительности.

Для работ, лежащих на критическом пути, никаких резервов времени нет и, следовательно, коэффициент напряженности таких работ равен единице. Если работа не лежит на критическом пути, она располагает резервами времени и её коэффициент напряжённости меньше единицы. Его величина подсчитывается как отношение суммы продолжительностей отрезков максимального пути, проходящего через данную работу, не совпадающих с критическим путём к сумме продолжительностей отрезков критического пути, не совпадающих с максимальным путем, проходящим через эту работу. В зависимости от коэффициента напряженности все работы попадают в одну из трёх зон напряжённости:

– критическую – коэффициент напряженности больше 0,80;

– промежуточную – коэффициент напряженности лежит в интервале (0,50... 0,80).

– резервную – коэффициент напряженности меньше 0,50.

Рассчитанные параметры сведены в таблицу 6.3.

Таблица 6.3 – Параметры работ сетевого графика

Код работы

Ожидаемая продолжительность, дн.

Сроки начала, дн.

Сроки окончания, дн.

Резервы времени, дн.

Коэффициент напряженности

ранний

поздний

ранний

поздний

полный

частный 1-го рода

частный 2-го рода

свободный

1

2

3

4

5

6

7

8

9

10

11

0-1

2

0

0

2

2

0

0

0

0

1,00

1-2

12

2

2

14

14

0

0

0

0

1,00

1-3

5

2

2

7

13

6

6

0

0

0,70

1-4

6

2

2

8

14

6

6

0

0

0,70

1-5

7

2

2

9

17

8

8

0

0

0,70

2-6

8

14

14

22

22

0

0

0

0

1,00

3-6

9

7

13

22

22

6

0

6

0

0,70

4-6

8

8

14

22

22

6

0

6

0

0,70

5-6

5

9

17

22

22

8

0

8

0

0,70

6-7

11

22

22

33

33

0

0

0

0

1,00

6-8

9

22

22

31

35

4

4

0

0

0,77

7-9

6

33

33

39

39

0

0

0

0

1,00

8-9

4

31

35

39

39

4

0

4

0

0,77

9-10

5

39

39

44

49

5

5

0

0

0,69

9-11

4

39

39

43

45

2

2

0

0

0,88

9-12

16

39

39

55

55

0

0

0

0

1,00

10-12

6

44

49

55

55

5

0

5

0

0,69

11-12

10

43

45

55

55

2

0

2

0

0,88

12-13

6

55

55

61

67

6

6

0

0

0,75

12-14

8

55

55

63

63

0

0

0

0

1,00

12-15

7

55

55

62

68

6

6

0

0

0,75

13-16

12

61

67

79

79

6

0

6

0

0,75

14-16

16

63

63

79

79

0

0

0

0

1,00

15-16

11

62

68

79

79

6

0

6

0

0,75

16-17

1

79

79

80

80

0

0

0

0

1,00

17-18

6

80

80

86

86

0

0

0

0

1,00

18-19

1

86

86

87

87

0

0

0

0

1,00

Теперь можно рассчитать параметры СГ в целом:

– число событий nС = 20 в СГ, включая исходное;

– число работ nР = 27;

– коэффициент сложности СГ kС = 1,35;

– длина критического пути LКР = 87 дней;

– директивный срок – 57 дней;

– СКО продолжительности критического пути – 2,00 дня;

– нормальное отклонение от среднего значения – -15,00;

– вероятность свершения конечного события – 0,99.

6.2 Смета затрат на проведение работ

Себестоимость разрабатываемого программного комплекса складывается из следующих составляющих:

– расходные материалы;

– командировочные расходы;

– контрагентские расходы;

– расходы на покупные изделия и специальное оборудование;

– заработная плата сотрудников;

– отчисления на социальные нужды;

– накладные расходы.


6.2.1 Расходные материалы

Перечень основных используемых расходных материалов приведен в таблице 6.4.

Таблица 6.4 – Используемые расходные материалы

Наименование

Единица измерения

Количество

Цена за единицу, руб.

Сумма, руб.

1. Бумага

лист

500

0,3

150,00

2. Тонер для лазерного принтера

кг

0,5

700

350,00

3. CDR диск

штук

1

15

15,00

4. Шариковая ручка

штук

1

8

8,00

Итого

523,00

6.2.2 Командировочные расходы

К данной статье расходов следует отнести расходы, связанные с необходимостью оплаты сотрудникам стоимости проезда до рабочего места. Предполагается, что все сотрудники покупают месячные проездные билеты на один вид транспорта, цена которых составляет 360,00 руб. Поскольку в разработке участвует 4 человека, а сама разработка длится три месяца, то общая смета расходов по данной статье составит 4 320,00 руб .

6.2.3 Контрагентские расходы

К контрагентским расходам можно отнести расходы, связанные с арендой машинного времени, цена за один час которого достигает 27,00 руб. При 6 часовом рабочем дне и необходимости использования ПЭВМ в течении 40 дней, то общая смета затрат по данной статье составит 6 480,00 руб .

6.2.4 Расходы на покупные изделия и специальное оборудование

При разработке программного продукта не возникло необходимости в закупке дополнительной специальной технической и иной литературы, какого-либо дополнительного оборудования или лицензионного программного обеспечения, поэтому расходы по данной статье отсутствуют.

6.2.5 Заработная плата сотрудников

Затраты, связанные с необходимостью выплаты сотрудникам заработной платы, приведены в таблице 6.5.

Таблица 6.5 – Затраты на заработную плату сотрудникам

Исполнитель

Месячный оклад, руб.

Занятость, дней

Фонд оплаты труда, руб

1. Лаборант

1 100,00

78

3 900,00

2. Инженер

1 570,00

144

10 276,00

3. Руководитель

1 830,00

91

7 570,00

Итого

21 746,00

С учетом того, что премия, выплачиваемая сотрудникам, составляет около 10% от заработной платы сотрудников, итоговый фонд оплаты труда всех сотрудников за время разработки составит 23 921,00 руб .

6.2.6 Отчисления на социальные нужды

Расходы, связанные с отчислениями на социальные нужды, приведены в таблице 6.6.

Таблица 6.6 – Отчисления на социальные нужды

Наименование

Процентная ставка, %

Сумма, руб.

1. Отчисления в пенсионный фонд

28,00

6 697,88

2. Отчисления на социальное страхование

4,00

956,84

3. Отчисления на медицинское страхование

3,60

861,16

Итого

8 515,88

6.2.7 Накладные расходы

В этой статье расходов учитываются расходы на управление и хозяйственное обслуживание, которые могут быть отнесены прямым счетом на конкретную тему. В данном случае они составляют 10% от всех предыдущих затрат.

6.2.8 Смета затрат на выполнение всех работ

Суммарная смета затрат на выполнение всех работ приведена в таблице 6.7.

Таблица 6.7 – Смета затрат на выполнение всех работ

Наименование статьи затрат

Сумма, руб.

1. Расходные материалы

523,00

2. Командировочные расходы

4 320,00

3. Контрагентские расходы

6 480,00

4. Заработная плата сотрудников

23 921,00

5. Отчисления на социальные нужды

8 515,88

6. Накладные расходы

4 375,99

Итого

48 135,87

6.3 Анализ технико-экономической эффективности

Как уже было сказано выше, цель дипломного проектирования – разработка программного комплекса для цифрового моделирования радиолокационной обстановки. Использование программного комплекса позволит снизить как стоимость, так и уменьшить сроки проектирования, разработки и настройки отдельных модулей и блоков, входящих в состав РЛС.

Сокращение материальных затрат и сроков разработки происходит за счет уменьшения количества выездов на испытательный полигон для проверки технических решений и устройств в целом; почти полного предотвращения необходимости повторного изготовления разработанных блоков и модулей из-за ошибок в проектировании при неправильном выборе параметров и режимов работы.

Разработка подобного программного комплекса стала возможным благодаря большой научно-исследовательской работе и практическим разработкам, проведенным сотрудниками НТЦ ФГУП ЧРЗ «Полет», продолжающимся и в настоящее время.

Разрабатываемый программный комплекс не имеет аналогов и является перспективным изделием в рамках моделирования процессов радиолокации и обработки принимаемых радиолокационных сигналов.

По предварительным оценкам стоимость заказа программного комплекса в сторонней организации без разработки математического обеспечения может достигать 100 тыс. руб. При заказе также на разработку математического обеспечения стоимость изделия может увеличиться в несколько раз.


Заключение

В ходе дипломного проектирования была разработана система цифрового моделирования радиолокационной обстановки, удовлетворяющая требованиям задания на дипломное проектирование. Предварительно были разработаны и описаны математические модели радиолокационных объектов, основных модулей РЛС и связи между моделями.

Программный комплекс может использоваться по своему прямому назначению – получение файла, содержащего цифровую модель радиолокационной обстановки. Доработка программного комплекса в рамках организации обмена данными с устройствами обработки радиолокационной информации планируется произвести после окончания дипломного проектирования.

Пояснительная записка отражает все этапы разработки программного комплекса, начиная от разработки моделей и заканчивая разработкой интерфейса пользователя. В пояснительной записке также описаны мероприятия по вопросам безопасности жизнедеятельности, а также приведено экономическое обоснование разработки. Сетевое планирование позволило правильно выбрать сроки дипломного проектирования.


Список сокращений

АЦП – аналогово-цифровой преобразователь

БГШ – белый гаусовский шум

ВДТ – видео дисплейная техника

ДНА – диаграмма направленности антенны

ДОР – диаграмма обратного рассеивания

ЗС – зондирующий сигнал

КЕО – коэффициент естественного освещения

ЛЧМ – линейно-частотная модуляция

МАИ – малые азимутные интервалы

НЛЧМ – нелинейно-частотная модуляция

ПЛИС – программируемые логические интегральные схемы

ПТЭ – правила технической эксплуатации

ПЭВМ – персональная электронно-вычислительная машина

РЛС – радиолокационная станция

РО – радиолокационный объект

СГ – сетевой график

ТИ – точечный излучатель

ТЦ – точечная цель

ЦАП – цифро-аналоговый преобразователь

ЧМ – частотная модуляция

ЭПР – эффективная площадь рассеивания

DSP – digital signal processor

EMF – extended metafile

RAD – rapid applications development


Литератур а

1. Финкельштейн М. И. Основы радиолокации. – М.: Радио и связь, 1983. – 536 с.

2. Бакулев П. А., Сосновский А. А. Радиолокационные и радионавигационые системы. – М.: Радио и связь, 1994. – 296 с.

3. Кук Ч., Бернфельд М. – М. Радиолокационные сигналы: Пер. с англ. / Под ред. В. С. Кельзона. – М.: Советское радио, 1971. – 568 с.

4. Ширман Я. Д. Теоретические основы радиолокации. – М.: Советское радио, 1970. – 560 с.

5. Теоретические основы радиолокации / А. А. Коростелев, Н. Ф. Клюев, Ю. А. Мельник и др; Под ред. В. Е. Дулевича. – М. Советское радио, 1978.–608 с.

6. Сигналы и их обработка в информационных системах / П. С. Акимов, А. И. Сенин, В. И. Соленов. – М.: Радио и связь, 1994. – 256 с.

7. Баскаков С. И. Радиотехнические цепи и сигналы. – М.: Высшая школа, 1988. – 448с.

8. Купер Дж., Макгиллем К. Вероятностные методы анализа сигналов и систем: Пер. с англ. – М.: Мир, 1989. – 376 с.

9. Подбельский В. В. Язык С++: Учеб. пособие. – 4-е изд. – М.: Финансы и статистика, 1999. – 560 с.

10. ГОСТ 19.001-77. Единая система программной документации. Общие положения.

11. ГОСТ 19.201-78. Единая система программной документации. Техническое задание. Требования к содержанию и оформлению.

12. ГОСТ 12.0.003-74 ССБТ. Опасные и вредные производственные факторы.

13. СанПиН 2.2.2. 542-96. Гигиенические требования к видео дисплейным терминалам, персональным электронно-вычислительным машинам и организации труда.

14. ГОСТ 12.1.030-81 ССБТ И – 1.08.87. Электробезопасность. Защитное заземление, зануление.

15. ГОСТ 12.1.038-82 ССБТ И – 1.04.88. Электробезопасность. Предельно допустимые уровни напряжений прикосновения и токов.

16. СНиП 21-07-97. Классификация зданий по степени огнестойкости, конструктивной и функциональной безопасности.

17. СниП. 23-05-95. Естественное и искусственное освещение. Нормы проектирования.

18. ГОСТ 29.05.006-85. Электрические поля промышленной частоты. Допустимые уровни напряженности и требования к проведению контроля на рабочих местах.

19. ГОСТ 12.1.003-83 ССБТ. Шум общие требования безопасности.

20. ГОСТ 12.2.031-78. Производственное помещение. Общие эргономические требования.

21. ГОСТ 26387-84. Система «человек-машина». Основные понятия. Общие эргономические требования.

22. ГОСТ 12.2.032-78. Рабочее место при выполнении работ сидя. Общие эргономические требования.

23. ГОСТ 20.39.108-85. Требования по эргономике, обитаемости и технической эстетике. Номенклатура и порядок выбора.

24. Методические указания по дипломному проектированию для студентов приборостроительного факультета (Раздел «Охрана труда») / Составитель Н. М. Мирзаева; Под ред. А. И. Сидорова. – Челябинск: ЧПИ, 1989. – 15 с.

25. СТП ЮУрГУ 04-2001. Стандарт предприятия. Курсовое и дипломное проектирование. Общие требования к оформлению / Составители: Сырейшикова Н. В., Гузеев В. И., Сурков И. В., Винокурова Л. В. – Челябинск: ЮУрГУ, 2001. – 49 с.


Приложение А. Структура пакета данных

Таблица А.1 – Структура пакета данных

Назначение

Формат

Содержимое

Примечание

1

2

3

4

1. Длина пакета в словах

Int 32

0x0000LLLL

Длина пакета без учета первого слова

2. Начало пакета данных

Int 32

0xAAAAAAAA

0xBBBBBBBB

Маркер ЛЧМ

Маркер МОНО

3. Код периода НД

Int 32

0x_Period_

Временной интервал между текущим НД и предыдущим НД

4. Номер пакета

Int 32

0xNNNNNNNN

Условный номер пакета

5. Код азимута

Int 32

0x0000DDDD

Код азимута

6. Код периода ЛЧМ | МОНО

Int 32

0x_Period_CHM

0x_Period_MONO

Временной интервал между текущим НД ЛЧМ и предыдущим НД ЛЧМ

Временной интервал между текущим НД МОНО и предыдущим НД МОНО

7. Количество отсчетов

Int 32

0x0000NNNN

Количество отсчетов по дальности

8. Начало области отсчетов

1 слово данных

2 слово данных

N-1 слово данных

N слово данных

Int 32

Int 32

Int 32

Int 32

Int 32

0x33333333

0x55555555

0xSigValue

0xSigValue

0xSigValue

0xSigValue

Маркер канала Re

Маркер канала Im

Дальность 2, 1

Дальность 4, 3

Дальность 2N-2, 2N-3

Дальность 2N, 2N-1

9. Количество слов в служебной области

Int 32

0x0000SSSS

Число слов в служебной области

10. Начало служебной области

Команда | состояние 0

Служебное слово 0

Команда | состояние 1

Служебное слово 1

Служебная информация

Int 32

Int 32

Int 32

Int 32

Int 32

Int 32

0xDDDDDDDD

0xStatus00

0xService0

0xStatus01

0xService1

0xSSSSSSSS

Маркер начала служебной области

Состояние 0

Служебная информация

Состояние 1

Служебная информация

Служебная информация

11. Конец блока данных

Int 32

0x00000000

Маркер конца блока

данных 1


Приложение Б. Заголовочный файл для реализации математических моделей

#ifndef __RADENV

#define __RADENV

//Описание структуры сигнала

struct MSIGNAL

{

ShortString name; //Название сигнала

int type; //Тип модуляции сигнала

//0 - МОНО;1 - ЛЧМ;

//2 - НЛЧМ;

double deviac; //Девиация ЛЧМ, МГц

DynamicArray<double> koeffs; //Коэффициенты разложения в

//ряд фазы НЛЧМ сигнала

int nes_frec; //Несущая частота, МГц

double time_imp; //Длительность импульса,мкс

DynamicArray<double> imps; //Межимпульсные интервалы,

//мкс

};

//Сценарий расстановки сигналов

struct SSIGNAL

{

int nsignal; //Номер сигнала

double time; //Время от предыдущего

//сигнала, мкс

};

//Описание структуры РЛС

struct RLS

{

//АНТЕННА//

double diagr_width; //Ширина диаграммы направ-

//ленности по азимуту, град

int diagr_form; //Форма диаграммы направ-

//ленности

double speed_vrash; //Скорость вращения антен-

//ны, об/мин

double koeff_us; //Коэффициент усиления

//антенны, дБ

int angle_kod; //Параметры датчика

//угол-код

//ПЕРЕДАЮЩЕЕ УСТРОЙСТВО//

double mos_izluch; //Мощность излучения, кВт

double frec_sinhr; //Частота синхронизации

//РЛС, МГц

//ПРИНИМАЮЩЕЕ УСТРОЙСТВО//

double bgs; //Уровень БГШ, дБ/Вт

double mdaln; //Максимальная дальность

//при зондировании сигнала-

//ми МОНО, км

int reg_sko; //Число разрядов в АЦП

int levels; //Число уровней квантования

//АЦП

int frec_disc_adc; //Частота дискретизации

//АЦП, МГц

};

//Описание структуры "вершин" для распределенного объекта

struct TRO

{

double az; //Азимут вершины, град

double poz; //Расстояние от РЛС, км

};

//Структура для задания параметров программы движения

//радиолокационного объекта

struct PROG_MOVE

{

double d; //Расстояние от РЛС, км

double a; //Азимут объекта, град

double v; //Скорость объекта, м/с

};

//Описание структуры РО

struct RADOBJ

{

int type_obj; //Тип объекта

//0 - точечная цель;

//1 - протяженная помеха

//2 - группа местников

double poz; //Начальная дистанция, км

double az; //Начальный азимут, град

double raz; //Протяженность объекта по

//азимуту, град

double rdaln; //Протяженность объекта по

//дальности, км

double epr; //ЭПР,кв. м

double pow; //Мошность вторичного

//излучения , дб/Вт

unsigned int mat_model; //Математическая модель

unsigned int k; //Сложность модели

unsigned int numpt; //Количество точечных

//излучателей

double f_dopler; //Доплеровская частота, Гц

DynamicArray<TRO> tro; //Массив "вершин" для

//распределенного объекта

DynamicArray<PROG_MOVE> pm; //Массив с программой

//движения

};

#endif